Top secrets sources NedoPC zxusbnet

Rev

Hide changed files | Directory listing | RSS feed

Filtering Options

Rev Age Author Path Log message Diff Changes
68 2014-10-26 21:51:58 lvd /branches/clkfix/cpld/quartus/ zxiznet: clkgen branch: successfull compilation of moved pinout  
/branches/clkfix/cpld/quartus/top.pof
/branches/clkfix/cpld/quartus/top.qsf
67 2014-10-26 19:18:34 lvd /branches/clkfix/cpld/ clkfix: removing sl811_rst_n pin  
/branches/clkfix/cpld/quartus/top.qsf
/branches/clkfix/cpld/rtl/ports.v
/branches/clkfix/cpld/rtl/top.v
66 2014-10-26 19:05:30 lvd /branches/clkfix/ Spawning new branch "clkfix" to try to fix glitches by adding extra clock to FPGA  
/branches/clkfix
62 2013-10-03 10:16:04 lvd /trunk/cpld/ zxiznet: trunk now is without bugfixes, all bugfixes is in branch/ dir  
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
/trunk/cpld/rtl/top.v
/trunk/cpld/rtl/zbus.v
60 2013-10-03 10:12:41 lvd /trunk/cpld/ zxiznet: dir structure  
/trunk/cpld/quartus
/trunk/cpld/rtl
/trunk/cpld/fix
59 2013-10-03 10:09:34 lvd /trunk/cpld/ zxiznet: trying to fix glitches...  
/trunk/cpld/fix
/trunk/cpld/fix/quartus
/trunk/cpld/fix/rtl
/trunk/cpld/quartus
/trunk/cpld/rtl
/trunk/cpld/fix/quartus/top.pof
/trunk/cpld/fix/quartus/top.qsf
/trunk/cpld/fix/rtl/top.v
/trunk/cpld/fix/rtl/zbus.v
58 2013-09-22 20:18:15 lvd /trunk/cpld/ zxiznet: partially removed glitch problem by adding filters to cpld design, more glitches to kill  
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
/trunk/cpld/rtl/top.v
/trunk/cpld/rtl/zbus.v
52 2013-04-04 16:07:25 lvd /trunk/cpld/quartus/ zxiznet: fixed nasty bug with not assigning pins and letting qva spread em randomly while changing RTL -- previous rev is therefore non-functional  
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
48 2013-04-04 00:17:48 lvd /trunk/ zxiznet: fixed reset behavior of ms bit: now it's reset only on zx reset, not on sl811 reset. doc fixed, new .pof built  
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
/trunk/cpld/rtl/ports.v
/trunk/specs/specs.txt
40 2012-11-17 11:13:07 lvd /trunk/ changed pins on CPLD for zxbus  
/trunk/pdfs/74LVC1G08.pdf
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
/trunk/pcad/zxinet.sch
35 2012-11-12 16:23:58 lvd /trunk/cpld/rtl/tb/ gate-level passed  
/trunk/cpld/rtl/tb/ssz80.v
/trunk/cpld/rtl/tb/tb.v
34 2012-11-12 13:02:38 lvd /trunk/cpld/rtl/tb/ small update tp gate-level build script  
/trunk/cpld/rtl/tb/cg
33 2012-11-12 10:15:27 lvd /trunk/cpld/ trying to add gate-level  
/trunk/cpld/rtl/tb/cg
/trunk/cpld/rtl/tb/testbench
/trunk/cpld/quartus/top.qsf
/trunk/cpld/rtl/tb/c
/trunk/cpld/rtl/tb/files
/trunk/cpld/rtl/tb/ssz80.v
/trunk/cpld/rtl/tb/tb.v
32 2012-11-11 22:20:16 lvd /trunk/ added port access to w5300 (HDL code, testbench, specs all updated)  
/trunk/cpld/quartus/top.pof
/trunk/cpld/rtl/ports.v
/trunk/cpld/rtl/tb/tb.v
/trunk/cpld/rtl/tb/wave.do
/trunk/cpld/rtl/top.v
/trunk/cpld/rtl/wizmap.v
/trunk/cpld/rtl/zbus.v
/trunk/specs/specs.txt
31 2012-11-11 20:04:06 lvd /trunk/cpld/ finished testbench for current cpld code  
/trunk/cpld/quartus/top.pof
/trunk/cpld/rtl/tb/tb.v
30 2012-11-11 16:03:39 lvd /trunk/cpld/rtl/ basic tests OK  
/trunk/cpld/rtl/tb/sc
/trunk/cpld/rtl/tb/sg
/trunk/cpld/rtl/tb/ssz80.v
/trunk/cpld/rtl/tb/tb.v
/trunk/cpld/rtl/tb/w5300.v
/trunk/cpld/rtl/tb/wave.do
/trunk/cpld/rtl/zbus.v
29 2012-11-11 10:20:58 lvd /trunk/cpld/rtl/tb/ started writing testbench tests  
/trunk/cpld/rtl/tb/c.bat
/trunk/cpld/rtl/tb/d.bat
/trunk/cpld/rtl/tb/files
/trunk/cpld/rtl/tb/sg.bat
/trunk/cpld/rtl/tb/c
/trunk/cpld/rtl/tb/sg
/trunk/cpld/rtl/tb/sl811.v
/trunk/cpld/rtl/tb/ssz80.v
/trunk/cpld/rtl/tb/tb.v
/trunk/cpld/rtl/tb/w5300.v
/trunk/cpld/rtl/tb/wave.do
28 2012-11-07 06:09:01 lvd /trunk/cpld/rtl/tb/ updated tb a little  
/trunk/cpld/rtl/tb/sl811.v
/trunk/cpld/rtl/tb/tb.v
/trunk/cpld/rtl/tb/w5300.v
26 2012-11-04 15:13:22 lvd /trunk/ finished USB-part, ZXBUS-part  
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
/trunk/pcad/zxinet.sch
25 2012-11-03 23:41:28 lvd /trunk/ added USB master/slave switching circuits, changed HDL to buffer databus, removed BRDY read from specs  
/trunk/pdfs/bc847.pdf
/trunk/pdfs/irlml6402.pdf
/trunk/cpld/quartus/top.pof
/trunk/cpld/quartus/top.qsf
/trunk/cpld/rtl/ports.v
/trunk/cpld/rtl/top.v
/trunk/cpld/rtl/zbus.v
/trunk/pcad/libs/zxinet.lib
/trunk/pcad/zxinet.sch
/trunk/specs/specs.txt

Show All