Subversion Repositories pentevo

Rev

Rev 582 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // PentEvo project (c) NedoPC 2008-2012
  2. //
  3. // most of pentevo ports are here
  4.  
  5. `include "../include/tune.v"
  6.  
  7. module zports(
  8.  
  9.         input  wire        zclk,   // z80 clock
  10.         input  wire        fclk,  // global FPGA clock
  11.         input  wire        rst_n, // system reset
  12.  
  13.         input  wire        zpos,
  14.         input  wire        zneg,
  15.  
  16.  
  17.         input  wire [ 7:0] din,
  18.         output reg  [ 7:0] dout,
  19.         output wire        dataout,
  20.         input  wire [15:0] a,
  21.  
  22.         input  wire        iorq_n,
  23.         input  wire        mreq_n,
  24.         input  wire        m1_n,
  25.         input  wire        rd_n,
  26.         input  wire        wr_n,
  27.  
  28.         output reg         porthit, // when internal port hit occurs, this is 1, else 0; used for iorq1_n iorq2_n on zxbus
  29.         output reg         external_port, // asserts for AY and VG93 accesses
  30.  
  31.         output wire [15:0] ideout,
  32.         input  wire [15:0] idein,
  33.         output wire        idedataout, // IDE must IN data from IDE device when idedataout=0, else it OUTs
  34.         output wire [ 2:0] ide_a,
  35.         output wire        ide_cs0_n,
  36.         output wire        ide_cs1_n,
  37.         output wire        ide_rd_n,
  38.         output wire        ide_wr_n,
  39.  
  40.  
  41.         input  wire [ 4:0] keys_in, // keys (port FE)
  42.         input  wire [ 7:0] mus_in,  // mouse (xxDF)
  43.         input  wire [ 4:0] kj_in,
  44.  
  45.         output reg  [ 3:0] border,
  46.  
  47.  
  48.         input  wire        dos,
  49.  
  50.  
  51.         output wire        ay_bdir,
  52.         output wire        ay_bc1,
  53.  
  54.         output wire [ 7:0] p7ffd,
  55.         output wire [ 7:0] peff7,
  56.  
  57.         input  wire        tape_read,
  58.  
  59.         output wire        vg_cs_n,
  60.         input  wire        vg_intrq,
  61.         input  wire        vg_drq, // from vg93 module - drq + irq read
  62.         output wire        vg_wrFF,        // write strobe of #FF port
  63.  
  64.         output wire        sd_cs_n_val,
  65.         output wire        sd_cs_n_stb,
  66.         output wire        sd_start,
  67.         output wire [ 7:0] sd_datain,
  68.         input  wire [ 7:0] sd_dataout,
  69.  
  70.         // WAIT-ports related
  71.         //
  72.         output reg  [ 7:0] gluclock_addr,
  73.         //
  74.         output reg  [ 2:0] comport_addr,
  75.         //
  76.         output wire        wait_start_gluclock, // begin wait from some ports
  77.         output wire        wait_start_comport,  //
  78.         //
  79.         output reg         wait_rnw,   // whether it was read(=1) or write(=0)
  80.         output reg  [ 7:0] wait_write,
  81.         input  wire [ 7:0] wait_read,
  82.  
  83.  
  84.         output wire        atmF7_wr_fclk, // used in atm_pager.v
  85.  
  86.  
  87.         output reg  [ 2:0] atm_scr_mode, // RG0..RG2 in docs
  88.         output reg         atm_turbo,    // turbo mode ON
  89.         output reg         atm_pen,      // pager_off in atm_pager.v, NOT inverted!!!
  90.         output reg         atm_cpm_n,    // permanent dos on
  91.         output reg         atm_pen2,     // PEN2 - fucking palette mode, NOT inverted!!!
  92.  
  93.         output wire        romrw_en, // from port BF
  94.  
  95.  
  96.         output wire        pent1m_ram0_0, // d3.eff7
  97.         output wire        pent1m_1m_on,  // d2.eff7
  98.         output wire [ 5:0] pent1m_page,   // full 1 meg page number
  99.         output wire        pent1m_ROM,     // d4.7ffd
  100.  
  101.  
  102.         output wire        atm_palwr,   // palette write strobe
  103.         output wire [ 5:0] atm_paldata, // palette write data
  104.  
  105.         output wire        covox_wr,
  106.         output wire        beeper_wr,
  107.  
  108.         output wire        clr_nmi,
  109.  
  110.         output wire        fnt_wr,              // write to font_ram enabled
  111.  
  112.         // inputs from atm_pagers, to read back its config
  113.         input  wire [63:0] pages,
  114.         input  wire [ 7:0] ramnroms,
  115.         input  wire [ 7:0] dos7ffds,
  116.  
  117.         input  wire [ 5:0] palcolor,
  118.         input  wire [ 7:0] fontrom_readback,
  119.  
  120.  
  121.         // NMI generation
  122.         output reg         set_nmi,
  123.  
  124.         // break enable & address
  125.         output reg         brk_ena,
  126.         output reg  [15:0] brk_addr
  127. );
  128.  
  129.  
  130. `define IS_NIDE_REGS(x) ( (x[2:0]==3'b000) && (x[3]!=x[4]) )
  131. `define IS_NIDE_HIGH(x) ( x[7:0]==8'h11 )
  132. `define IS_PORT_NIDE(x) ( `IS_NIDE_REGS(x) || `IS_NIDE_HIGH(x) )
  133. `define NIDE_REGS 8'h10,8'h30,8'h50,8'h70,8'h90,8'hB0,8'hD0,8'hF0, \
  134.                   8'h08,8'h28,8'h48,8'h68,8'h88,8'hA8,8'hC8,8'hE8
  135.  
  136.         localparam PORTFE = 8'hFE;
  137.         localparam PORTF6 = 8'hF6;
  138.         localparam PORTF7 = 8'hF7;
  139.  
  140.         localparam NIDE10 = 8'h10;
  141.         localparam NIDE11 = 8'h11;
  142.         localparam NIDE30 = 8'h30;
  143.         localparam NIDE50 = 8'h50;
  144.         localparam NIDE70 = 8'h70;
  145.         localparam NIDE90 = 8'h90;
  146.         localparam NIDEB0 = 8'hB0;
  147.         localparam NIDED0 = 8'hD0;
  148.         localparam NIDEF0 = 8'hF0;
  149.         localparam NIDEC8 = 8'hC8;
  150.  
  151.         localparam PORTFD = 8'hFD;
  152.  
  153.         localparam VGCOM  = 8'h1F;
  154.         localparam VGTRK  = 8'h3F;
  155.         localparam VGSEC  = 8'h5F;
  156.         localparam VGDAT  = 8'h7F;
  157.         localparam VGSYS  = 8'hFF;
  158.  
  159.         localparam SAVPORT1 = 8'h2F;
  160.         localparam SAVPORT2 = 8'h4F;
  161.         localparam SAVPORT3 = 8'h6F;
  162.         localparam SAVPORT4 = 8'h8F;
  163.  
  164.         localparam KJOY   = 8'h1F;
  165.         localparam KMOUSE = 8'hDF;
  166.  
  167.         localparam SDCFG  = 8'h77;
  168.         localparam SDDAT  = 8'h57;
  169.  
  170.         localparam ATMF7  = 8'hF7;
  171.         localparam ATM77  = 8'h77;
  172.  
  173.         localparam ZXEVBE = 8'hBE; // xxBE config-read and nmi-end port
  174.         localparam ZXEVBF = 8'hBF; // xxBF config port
  175.         localparam ZXEVBRK = 8'hBD; // xxBD breakpoint address port    
  176.  
  177.         localparam COMPORT = 8'hEF; // F8EF..FFEF - rs232 ports
  178.  
  179.  
  180.         localparam COVOX   = 8'hFB;
  181.  
  182.  
  183.  
  184.  
  185.         reg port_wr;
  186.         reg port_rd;
  187.  
  188.         reg iowr_reg;
  189.         reg iord_reg;
  190.  
  191.  
  192.         reg port_wr_fclk,
  193.             port_rd_fclk,
  194.             mem_wr_fclk;
  195.  
  196.         reg [1:0] iowr_reg_fclk,
  197.                   iord_reg_fclk;
  198.  
  199.         reg [1:0] memwr_reg_fclk;
  200.  
  201.  
  202.         wire [7:0] loa;
  203.  
  204.         wire portfe_wr;
  205.  
  206.  
  207.  
  208.         wire ideout_hi_wr;
  209.         wire idein_lo_rd;
  210.         reg [7:0] idehiin; // IDE high part read register: low part is read directly to Z80 bus,
  211.                            // while high part is remembered here
  212.         reg ide_ports; // ide ports selected
  213.  
  214.         reg ide_rd_trig; // nemo-divide read trigger
  215.         reg ide_rd_latch; // to save state of trigger during read cycle
  216.  
  217.         reg ide_wrlo_trig,  ide_wrhi_trig;  // nemo-divide write triggers
  218.         reg ide_wrlo_latch, ide_wrhi_latch; // save state during write cycles
  219.  
  220.  
  221.  
  222.         reg  [15:0] idewrreg; // write register, either low or high part is pre-written here,
  223.                               // while other part is out directly from Z80 bus
  224.  
  225.         wire [ 7:0] iderdeven; // to control read data from "even" ide ports (all except #11)
  226.         wire [ 7:0] iderdodd;  // read data from "odd" port (#11)
  227.  
  228.  
  229.  
  230.         reg pre_bc1,pre_bdir;
  231.  
  232.         wire gluclock_on;
  233.  
  234.  
  235.  
  236.         reg  shadow_en_reg; //bit0.xxBF
  237.         reg   romrw_en_reg; //bit1.xxBF
  238.         reg  fntw_en_reg;       //bit2.xxBF
  239.  
  240.         wire shadow;
  241.  
  242.  
  243.  
  244.         reg [7:0] portbemux;
  245.  
  246.  
  247.  
  248.         reg [7:0] savport [3:0];
  249.  
  250.  
  251.  
  252.  
  253.  
  254.         assign shadow = dos || shadow_en_reg;
  255.  
  256.  
  257.  
  258.  
  259.  
  260.  
  261.         assign loa=a[7:0];
  262.  
  263.         always @*
  264.         begin
  265.                 if( (loa==PORTFE) || (loa==PORTF6) ||
  266.                     (loa==PORTFD) ||
  267.  
  268.                     `IS_PORT_NIDE(loa) ||
  269. //                  (loa==NIDE10) || (loa==NIDE11) || (loa==NIDE30) || (loa==NIDE50) || (loa==NIDE70) ||
  270. //                  (loa==NIDE90) || (loa==NIDEB0) || (loa==NIDED0) || (loa==NIDEF0) || (loa==NIDEC8) ||
  271.  
  272.                     (loa==KMOUSE) ||
  273.  
  274.                     ( (loa==VGCOM)&&shadow ) || ( (loa==VGTRK)&&shadow ) || ( (loa==VGSEC)&&shadow ) || ( (loa==VGDAT)&&shadow ) ||
  275.                     ( (loa==VGSYS)&&shadow ) ||
  276.  
  277.                     ( (loa==SAVPORT1)&&shadow ) || ( (loa==SAVPORT2)&&shadow ) ||
  278.                     ( (loa==SAVPORT3)&&shadow ) || ( (loa==SAVPORT4)&&shadow ) ||
  279.  
  280.  
  281.                     ( (loa==PORTF7)&&(!shadow) ) || ( (loa==SDCFG)&&(!shadow) ) || ( (loa==SDDAT) ) ||
  282.  
  283.                     ( (loa==ATMF7)&&shadow ) || ( (loa==ATM77)&&shadow ) ||
  284.  
  285.                     ( loa==ZXEVBF ) || ( loa==ZXEVBE) || ( loa==ZXEVBRK) || ( loa==COMPORT )
  286.                   )
  287.  
  288.  
  289.  
  290.                         porthit = 1'b1;
  291.                 else
  292.                         porthit = 1'b0;
  293.         end
  294.  
  295.         always @*
  296.         begin
  297.                 if( ((loa==PORTFD) && a[15]) || // 0xBFFD/0xFFFD ports
  298.                     (( (loa==VGCOM)&&shadow ) || ( (loa==VGTRK)&&shadow ) || ( (loa==VGSEC)&&shadow ) || ( (loa==VGDAT)&&shadow )) ) // vg93 ports
  299.                         external_port = 1'b1;
  300.                 else
  301.                         external_port = 1'b0;
  302.         end
  303.  
  304.         assign dataout = porthit & (~iorq_n) & (~rd_n) & (~external_port);
  305.  
  306.  
  307.  
  308.         // this is zclk-synchronous strobes
  309.         always @(posedge zclk)
  310.         begin
  311.                 iowr_reg <= ~(iorq_n | wr_n);
  312.                 iord_reg <= ~(iorq_n | rd_n);
  313.  
  314.                 if( (!iowr_reg) && (!iorq_n) && (!wr_n) )
  315.                         port_wr <= 1'b1;
  316.                 else
  317.                         port_wr <= 1'b0;
  318.  
  319.  
  320.                 if( (!iord_reg) && (!iorq_n) && (!rd_n) )
  321.                         port_rd <= 1'b1;
  322.                 else
  323.                         port_rd <= 1'b0;
  324.         end
  325.  
  326.  
  327.  
  328.  
  329.         // fclk-synchronous stobes
  330.         //
  331.         always @(posedge fclk) if( zpos )
  332.         begin
  333.                 iowr_reg_fclk[0] <= ~(iorq_n | wr_n);
  334.                 iord_reg_fclk[0] <= ~(iorq_n | rd_n);
  335.         end
  336.  
  337.         always @(posedge fclk)
  338.         begin
  339.                 iowr_reg_fclk[1] <= iowr_reg_fclk[0];
  340.                 iord_reg_fclk[1] <= iord_reg_fclk[0];
  341.         end
  342.  
  343.         always @(posedge fclk)
  344.         begin
  345.                 port_wr_fclk <= iowr_reg_fclk[0] && (!iowr_reg_fclk[1]);
  346.                 port_rd_fclk <= iord_reg_fclk[0] && (!iord_reg_fclk[1]);
  347.         end
  348.  
  349.         always @(posedge fclk)
  350.                 memwr_reg_fclk[1:0] <= { memwr_reg_fclk[0], ~(mreq_n | wr_n) };
  351.  
  352.         always @(posedge fclk)
  353.                 mem_wr_fclk <= memwr_reg_fclk[0] && (!memwr_reg_fclk[1]);
  354.  
  355.  
  356.  
  357.         // dout data
  358.         always @*
  359.         begin
  360.                 case( loa )
  361.                 PORTFE:
  362.                         dout = { 1'b1, tape_read, 1'b0, keys_in };
  363.                 PORTF6:
  364.                         dout = { 1'b1, tape_read, 1'b0, keys_in };
  365.  
  366.  
  367.                 `NIDE_REGS:
  368.                         dout = iderdeven;
  369.                 NIDE11:
  370.                         dout = iderdodd;
  371.  
  372.  
  373.                 //PORTFD:
  374.  
  375.                 VGSYS:
  376.                         dout = { vg_intrq, vg_drq, 6'b111111 };
  377.  
  378.                 SAVPORT1, SAVPORT2, SAVPORT3, SAVPORT4:
  379.                         dout = savport[ loa[6:5] ];
  380.  
  381.  
  382.                 KJOY:
  383.                         dout = {3'b000, kj_in};
  384.                 KMOUSE:
  385.                         dout = mus_in;
  386.  
  387.                 SDCFG:
  388.                         dout = 8'h00; // always SD inserted, SD is in R/W mode
  389.                 SDDAT:
  390.                         dout = sd_dataout;
  391.  
  392.  
  393.                 PORTF7: begin
  394.                         if( !a[14] && (a[8]^shadow) && gluclock_on ) // $BFF7 - data i/o
  395.                                 dout = wait_read;
  396.                         else // any other $xxF7 port
  397.                                 dout = 8'hFF;
  398.                 end
  399.  
  400.                 COMPORT: begin
  401.                         dout = wait_read; // $F8EF..$FFEF
  402.                 end
  403.  
  404.                 ZXEVBF: begin
  405.                         dout = { 3'b000, brk_ena, set_nmi, fntw_en_reg, romrw_en_reg, shadow_en_reg };
  406.                 end
  407.  
  408.                 ZXEVBE: begin
  409.                         dout = portbemux;
  410.                 end
  411.  
  412.  
  413.                 default:
  414.                         dout = 8'hFF;
  415.                 endcase
  416.         end
  417.  
  418.  
  419.  
  420.         assign portfe_wr    = (((loa==PORTFE) || (loa==PORTF6)) && port_wr);
  421.         assign portfd_wr    = ( (loa==PORTFD) && port_wr);
  422.  
  423.         // F7 ports (like EFF7) are accessible in shadow mode but at addresses like EEF7, DEF7, BEF7 so that
  424.         // there are no conflicts in shadow mode with ATM xFF7 and x7F7 ports
  425.         assign portf7_wr    = ( (loa==PORTF7) && (a[8]==1'b1) && port_wr && (!shadow) ) ||
  426.                               ( (loa==PORTF7) && (a[8]==1'b0) && port_wr &&   shadow  ) ;
  427.  
  428.         assign portf7_rd    = ( (loa==PORTF7) && (a[8]==1'b1) && port_rd && (!shadow) ) ||
  429.                               ( (loa==PORTF7) && (a[8]==1'b0) && port_rd &&   shadow  ) ;
  430.  
  431.         assign vg_wrFF = ( ( (loa==VGSYS)&&shadow ) && port_wr);
  432.  
  433.         assign comport_wr   = ( (loa==COMPORT) && port_wr);
  434.         assign comport_rd   = ( (loa==COMPORT) && port_rd);
  435.  
  436.        
  437.         assign zxevbrk_wr_fclk = ( (loa==ZXEVBRK) && port_wr_fclk);
  438.  
  439.  
  440.  
  441.  
  442.  
  443.         // break address write
  444.         always @(posedge fclk)
  445.         if( zxevbrk_wr_fclk)
  446.         begin
  447.                 if( !a[8] )
  448.                         brk_addr[ 7:0] <= din;
  449.                 else // a[8]==1
  450.                         brk_addr[15:8] <= din;
  451.         end
  452.  
  453.  
  454.  
  455.  
  456.  
  457.         //border port FE
  458.         wire portwe_wr_fclk;
  459.  
  460.         assign portfe_wr_fclk = (((loa==PORTFE) || (loa==PORTF6)) && port_wr_fclk);
  461.  
  462.         always @(posedge fclk)
  463.         if( portfe_wr_fclk )
  464.                 border <= { ~a[3], din[2:0] };
  465.  
  466.  
  467.  
  468.  
  469.  
  470.  
  471.         // IDE ports
  472.  
  473.         // IDE physical ports (that go to IDE device)
  474.         always @(loa)
  475.         if( `IS_NIDE_REGS(loa) )
  476.                 ide_ports = 1'b1;
  477.         else
  478.                 ide_ports = 1'b0;
  479.  
  480.  
  481.         assign idein_lo_rd  = port_rd && (loa==NIDE10) && (!ide_rd_trig);
  482.  
  483.         // control read & write triggers, which allow nemo-divide mod to work.
  484.         //
  485.         // read trigger:
  486.         always @(posedge zclk)
  487.         begin
  488.                 if( (loa==NIDE10) && port_rd && !ide_rd_trig )
  489.                         ide_rd_trig <= 1'b1;
  490.                 else if( ( ide_ports || (loa==NIDE11) ) && ( port_rd || port_wr ) )
  491.                         ide_rd_trig <= 1'b0;
  492.         end
  493.         //
  494.         // two triggers for write sequence...
  495.         always @(posedge zclk)
  496.         if( ( ide_ports || (loa==NIDE11) ) && ( port_rd || port_wr ) )
  497.         begin
  498.                 if( (loa==NIDE11) && port_wr )
  499.                         ide_wrhi_trig <= 1'b1;
  500.                 else
  501.                         ide_wrhi_trig <= 1'b0;
  502.                 //
  503.                 if( (loa==NIDE10) && port_wr && !ide_wrhi_trig && !ide_wrlo_trig )
  504.                         ide_wrlo_trig <= 1'b1;
  505.                 else
  506.                         ide_wrlo_trig <= 1'b0;
  507.         end
  508.  
  509.         // normal read: #10(low), #11(high)
  510.         // divide read: #10(low), #10(high)
  511.         //
  512.         // normal write: #11(high), #10(low)
  513.         // divide write: #10(low),  #10(high)
  514.  
  515.  
  516.         always @(posedge zclk)
  517.         begin
  518.                 if( port_wr && (loa==NIDE11) )
  519.                         idewrreg[15:8] <= din;
  520.  
  521.                 if( port_wr && (loa==NIDE10) && !ide_wrlo_trig )
  522.                         idewrreg[ 7:0] <= din;
  523.         end
  524.  
  525.  
  526.  
  527.  
  528.         always @(posedge zclk)
  529.         if( idein_lo_rd )
  530.                         idehiin <= idein[15:8];
  531.  
  532.  
  533.         assign ide_a = a[7:5];
  534.  
  535.  
  536.         // This is unknown shit... Probably need more testing with old WD
  537.         // drives WITHOUT this commented fix.
  538.         //
  539.         // trying to fix old WD drives...
  540.         //assign ide_cs0_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa!=NIDEC8));
  541.         //assign ide_cs1_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa==NIDEC8));
  542.         // fix ends...
  543.  
  544.  
  545.         assign ide_cs0_n = (~ide_ports) | (~(loa!=NIDEC8));
  546.         assign ide_cs1_n = (~ide_ports) | (~(loa==NIDEC8));
  547.  
  548.  
  549.         // generate read cycles for IDE as usual, except for reading #10
  550.         // instead of #11 for high byte (nemo-divide). I use additional latch
  551.         // since 'ide_rd_trig' clears during second Z80 IO read cycle to #10
  552.         always @* if( rd_n ) ide_rd_latch <= ide_rd_trig;
  553.         //
  554.         assign ide_rd_n = iorq_n | rd_n | (~ide_ports) | (ide_rd_latch && (loa==NIDE10));
  555.  
  556.         always @* if( wr_n ) ide_wrlo_latch <= ide_wrlo_trig; // same for write triggers
  557.         always @* if( wr_n ) ide_wrhi_latch <= ide_wrhi_trig; //
  558.         //
  559.         assign ide_wr_n = iorq_n | wr_n | (~ide_ports) | ( (loa==NIDE10) && !ide_wrlo_latch && !ide_wrhi_latch );
  560.                                                   // do NOT generate IDE write, if neither of ide_wrhi|lo latches
  561.                                                   // set and writing to NIDE10
  562.  
  563.  
  564.  
  565. //      assign idedataout = ide_rd_n;
  566.         assign idedataout = ~ide_wr_n; // shit-fix in try to fix IDE errors
  567.         // warning: this fix kinda blind-picking, good way is to
  568.         // have idedataout lead wr or rd strobes. also good point to disable data ringing
  569.         // on ide data bus while not accessing IDE
  570.  
  571.  
  572.         // data read by Z80 from IDE
  573.         //
  574.         assign iderdodd[ 7:0] = idehiin[ 7:0];
  575.         //
  576.         assign iderdeven[ 7:0] = (ide_rd_latch && (loa==NIDE10)) ? idehiin[ 7:0] : idein[ 7:0];
  577.  
  578.         // data written to IDE from Z80
  579.         //
  580.         assign ideout[15:8] = ide_wrhi_latch ? idewrreg[15:8] : din[ 7:0];
  581.         assign ideout[ 7:0] = ide_wrlo_latch ? idewrreg[ 7:0] : din[ 7:0];
  582.  
  583.  
  584.  
  585.  
  586.  
  587.  
  588.  
  589.         // AY control
  590.         always @*
  591.         begin
  592.                 pre_bc1 = 1'b0;
  593.                 pre_bdir = 1'b0;
  594.  
  595.                 if( loa==PORTFD )
  596.                 begin
  597.                         if( a[15:14]==2'b11 )
  598.                         begin
  599.                                 pre_bc1=1'b1;
  600.                                 pre_bdir=1'b1;
  601.                         end
  602.                         else if( a[15:14]==2'b10 )
  603.                         begin
  604.                                 pre_bc1=1'b0;
  605.                                 pre_bdir=1'b1;
  606.                         end
  607.                 end
  608.         end
  609.  
  610.         assign ay_bc1  = pre_bc1  & (~iorq_n) & ((~rd_n)|(~wr_n));
  611.         assign ay_bdir = pre_bdir & (~iorq_n) & (~wr_n);
  612.  
  613.  
  614.  
  615.         // 7FFD port
  616.         reg [7:0] p7ffd_int,peff7_int;
  617.         reg p7ffd_rom_int;
  618.         wire block7ffd;
  619.         wire block1m;
  620.  
  621.         always @(posedge zclk, negedge rst_n)
  622.         begin
  623.                 if( !rst_n )
  624.                         p7ffd_int <= 7'h00;
  625.                 else if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  626.                         p7ffd_int <= din; // 2..0 - page, 3 - screen, 4 - rom, 5 - block48k, 6..7 -
  627.         end
  628.  
  629.         always @(posedge zclk, negedge rst_n)
  630.         if( !rst_n )
  631.                         p7ffd_rom_int <= 1'b0;
  632.         else
  633.                 if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  634.                         p7ffd_rom_int <= din[4];
  635.  
  636.  
  637.         assign block7ffd=p7ffd_int[5] & block1m;
  638.  
  639.  
  640.         // EFF7 port
  641.         always @(posedge zclk, negedge rst_n)
  642.         begin
  643.                 if( !rst_n )
  644.                         peff7_int <= 8'h00;
  645.                 else if( !a[12] && portf7_wr && (!shadow) ) // EEF7 in shadow mode is abandoned!
  646.                         peff7_int <= din; // 4 - turbooff, 0 - p16c on, 2 - block1meg
  647.         end
  648.         assign block1m = peff7_int[2];
  649.  
  650.         assign p7ffd = { (block1m ? 3'b0 : p7ffd_int[7:5]),p7ffd_rom_int,p7ffd_int[3:0]};
  651.  
  652.         assign peff7 = block1m ? { peff7_int[7], 1'b0, peff7_int[5], peff7_int[4], 3'b000, peff7_int[0] } : peff7_int;
  653.  
  654.  
  655.         assign pent1m_ROM       = p7ffd_int[4];
  656.         assign pent1m_page[5:0] = { p7ffd_int[7:5], p7ffd_int[2:0] };
  657.         assign pent1m_1m_on     = ~peff7_int[2];
  658.         assign pent1m_ram0_0    = peff7_int[3];
  659.  
  660.  
  661.  
  662.  
  663.         // gluclock ports (bit7:eff7 is above)
  664.  
  665.         assign gluclock_on = peff7_int[7] || shadow; // in shadow mode EEF7 is abandoned: instead, gluclock access
  666.                                                      // is ON forever in shadow mode.
  667.  
  668.         always @(posedge zclk)
  669.         begin
  670.                 if( gluclock_on && portf7_wr ) // gluclocks on
  671.                 begin
  672.                         if( !a[13] ) // $DFF7 - addr reg
  673.                                 gluclock_addr <= din;
  674.  
  675.                         // write to waiting register is not here - in separate section managing wait_write
  676.                 end
  677.         end
  678.  
  679.  
  680.         // comports
  681.  
  682.         always @(posedge zclk)
  683.         begin
  684.                 if( comport_wr || comport_rd )
  685.                         comport_addr <= a[10:8 ];
  686.         end
  687.  
  688.  
  689.  
  690.         // write to wait registers
  691.         always @(posedge zclk)
  692.         begin
  693.                 // gluclocks
  694.                 if( gluclock_on && portf7_wr && !a[14] ) // $BFF7 - data reg
  695.                         wait_write <= din;
  696.                 // com ports
  697.                 else if( comport_wr ) // $F8EF..$FFEF - comports
  698.                         wait_write <= din;
  699.         end
  700.  
  701.         // wait from wait registers
  702.         //
  703.         // ACHTUNG!!!! here portxx_wr are ON Z80 CLOCK! logic must change when moving to fclk strobes
  704.         //
  705.         assign wait_start_gluclock = ( gluclock_on && !a[14] && (portf7_rd || portf7_wr) ); // $BFF7 - gluclock r/w
  706.         //
  707.         assign wait_start_comport = ( comport_rd || comport_wr );
  708.         //
  709.         //
  710.         always @(posedge zclk) // wait rnw - only meanful during wait
  711.         begin
  712.                 if( port_wr )
  713.                         wait_rnw <= 1'b0;
  714.  
  715.                 if( port_rd )
  716.                         wait_rnw <= 1'b1;
  717.         end
  718.  
  719.  
  720.  
  721.  
  722.  
  723.         // VG93 control
  724.         assign vg_cs_n =  (~shadow) | iorq_n | (rd_n & wr_n) | ( ~((loa==VGCOM)|(loa==VGTRK)|(loa==VGSEC)|(loa==VGDAT)) );
  725.  
  726.  
  727.  
  728.  
  729.  
  730.  
  731.  
  732.  
  733. // SD card (z-controlâ••r compatible)
  734.  
  735.         wire sdcfg_wr,sddat_wr,sddat_rd;
  736.  
  737.         assign sdcfg_wr = ( (loa==SDCFG) && port_wr_fclk && (!shadow) )                  ||
  738.                           ( (loa==SDDAT) && port_wr_fclk &&   shadow  && (a[15]==1'b1) ) ;
  739.  
  740.         assign sddat_wr = ( (loa==SDDAT) && port_wr_fclk && (!shadow) )                  ||
  741.                           ( (loa==SDDAT) && port_wr_fclk &&   shadow  && (a[15]==1'b0) ) ;
  742.  
  743.         assign sddat_rd = ( (loa==SDDAT) && port_rd_fclk              );
  744.  
  745.         // SDCFG write - sdcs_n control
  746.         assign sd_cs_n_stb = sdcfg_wr;
  747.         assign sd_cs_n_val = din[1];
  748.  
  749.  
  750.         // start signal for SPI module with resyncing to fclk
  751.  
  752.         assign sd_start = sddat_wr || sddat_rd;
  753.  
  754.         // data for SPI module
  755.         assign sd_datain = sddat_rd ? 8'hFF : din;
  756.  
  757.  
  758.  
  759.  
  760.  
  761.  
  762.  
  763. /////////////////////////////////////////////////////////////////////////////////////////////////
  764.  
  765.         ///////////////
  766.         // ATM ports //
  767.         ///////////////
  768.  
  769.         wire atm77_wr_fclk;
  770.         wire zxevbf_wr_fclk;
  771.  
  772.         assign atmF7_wr_fclk = ( (loa==ATMF7) && (a[8]==1'b1) && shadow && port_wr_fclk ); // xFF7 and x7F7 ports, NOT xEF7!
  773.         assign atm77_wr_fclk = ( (loa==ATM77) && shadow && port_wr_fclk );
  774.  
  775.         assign zxevbf_wr_fclk = ( (loa==ZXEVBF) && port_wr_fclk );
  776.  
  777.  
  778.         // port BF write
  779.         //
  780.         always @(posedge fclk, negedge rst_n)
  781.         if( !rst_n )
  782.         begin
  783.                 shadow_en_reg <= 1'b0;
  784.                 romrw_en_reg  <= 1'b0;
  785.                 fntw_en_reg   <= 1'b0;
  786.                 set_nmi       <= 1'b0;
  787.                 brk_ena       <= 1'b0;
  788.         end
  789.         else if( zxevbf_wr_fclk )
  790.         begin
  791.                 shadow_en_reg <= din[0];
  792.                 romrw_en_reg  <= din[1];
  793.                 fntw_en_reg   <= din[2];
  794.                 set_nmi       <= din[3];
  795.                 brk_ena       <= din[4];
  796.         end
  797.  
  798.         assign romrw_en = romrw_en_reg;
  799.  
  800.  
  801.  
  802.         // port xx77 write
  803.         always @(posedge fclk, negedge rst_n)
  804.         if( !rst_n )
  805.         begin
  806.                 atm_scr_mode = 3'b011;
  807.                 atm_turbo    = 1'b0;
  808.  
  809.                 atm_pen =   1'b1; // no manager,
  810.                 atm_cpm_n = 1'b0; // permanent dosen (shadow ports on)
  811.  
  812.  
  813.                 atm_pen2     = 1'b0;
  814.         end
  815.         else if( atm77_wr_fclk )
  816.         begin
  817.                 atm_scr_mode <= din[2:0];
  818.                 atm_turbo    <= din[3];
  819.                 atm_pen      <= ~a[8];
  820.                 atm_cpm_n    <=  a[9];
  821.                 atm_pen2     <= ~a[14];
  822.         end
  823.  
  824.  
  825.         // atm palette strobe and data
  826.         wire vg_wrFF_fclk;
  827.  
  828.         assign vg_wrFF_fclk = ( ( (loa==VGSYS)&&shadow ) && port_wr_fclk);
  829.  
  830.  
  831.         assign atm_palwr = vg_wrFF_fclk & atm_pen2;
  832.  
  833.         assign atm_paldata = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] };
  834.  
  835.  
  836.  
  837.         // port BE write
  838.         assign clr_nmi = ( (loa==ZXEVBE) && port_wr_fclk );
  839.  
  840.  
  841.  
  842.  
  843.         // covox/beeper writes
  844.  
  845.         assign beeper_wr = (loa==PORTFE) && portfe_wr_fclk;
  846.         assign covox_wr  = (loa==COVOX) && port_wr_fclk;
  847.  
  848.  
  849.  
  850.         // font write enable
  851.         assign fnt_wr = fntw_en_reg && mem_wr_fclk;
  852.  
  853.  
  854.  
  855.         // port BE read
  856.  
  857.         always @*
  858.         case( a[12:8] )
  859.  
  860.         5'h0: portbemux = pages[ 7:0 ];
  861.         5'h1: portbemux = pages[15:8 ];
  862.         5'h2: portbemux = pages[23:16];
  863.         5'h3: portbemux = pages[31:24];
  864.         5'h4: portbemux = pages[39:32];
  865.         5'h5: portbemux = pages[47:40];
  866.         5'h6: portbemux = pages[55:48];
  867.         5'h7: portbemux = pages[63:56];
  868.  
  869.         5'h8: portbemux = ramnroms;
  870.         5'h9: portbemux = dos7ffds;
  871.  
  872.         5'hA: portbemux = p7ffd_int;
  873.         5'hB: portbemux = peff7_int;
  874.  
  875.         5'hC: portbemux = { ~atm_pen2, atm_cpm_n, ~atm_pen, dos, atm_turbo, atm_scr_mode };
  876.  
  877.         5'hD: portbemux = { ~palcolor[4], ~palcolor[2], ~palcolor[0], ~palcolor[5], 2'b11, ~palcolor[3], ~palcolor[1] };
  878. //      assign atm_paldata = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] };
  879. //  {GgRrBb} -> {grbG11RB}
  880. // was: 76543210 -> 471605
  881. // now:             543210 -> 4205xx31
  882.  
  883.         5'hE: portbemux = fontrom_readback;
  884.  
  885.         5'h10: portbemux = brk_addr[7:0];
  886.         5'h11: portbemux = brk_addr[15:8];
  887.  
  888.         default: portbemux = 8'bXXXXXXXX;
  889.  
  890.         endcase
  891.  
  892.  
  893.  
  894.  
  895.  
  896.         // savelij ports write
  897.         //
  898.         always @(posedge fclk)
  899.         if( port_wr_fclk && shadow )
  900.         begin
  901.                 if( (loa==SAVPORT1) ||
  902.                     (loa==SAVPORT2) ||
  903.                     (loa==SAVPORT3) ||
  904.                     (loa==SAVPORT4) )
  905.                         savport[ loa[6:5] ] <= din;
  906.         end
  907.  
  908.  
  909.  
  910. endmodule
  911.  
  912.