Subversion Repositories pentevo

Rev

Rev 543 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. `include "../include/tune.v"
  2.  
  3. // Pentevo project (c) NedoPC 2010,2011,2012
  4. //
  5. // top module for video output.
  6. //
  7. //
  8. // note: the only bandwidths currently in use are 1/8 and 1/4.
  9.  
  10. module video_top(
  11.  
  12.         input  wire        clk, // 28 MHz clock
  13.  
  14.  
  15.         // external video outputs
  16.         output wire [ 1:0] vred,
  17.         output wire [ 1:0] vgrn,
  18.         output wire [ 1:0] vblu,
  19.         output wire        vhsync,
  20.         output wire        vvsync,
  21.         output wire        vcsync,
  22.  
  23.  
  24.         // aux video inputs
  25.         input  wire [ 3:0] zxborder, // border zxcolor
  26.  
  27.  
  28.         // config inputs
  29.         input  wire [ 1:0] pent_vmode, // 2'b00 - standard ZX
  30.                                        // 2'b01 - hardware multicolor
  31.                                        // 2'b10 - pentagon 16 colors
  32.                                        // 2'b11 - not defined yet
  33.  
  34.         input  wire [ 2:0] atm_vmode,  // 3'b011 - zx modes (pent_vmode is active)
  35.                                        // 3'b010 - 640x200 hardware multicolor
  36.                                        // 3'b000 - 320x200 16 colors
  37.                                        // 3'b110 - 80x25 text mode
  38.                                        // 3'b??? (others) - not defined yet
  39.  
  40.  
  41.  
  42.         input  wire        scr_page,   // screen page (bit 3 of 7FFD)
  43.  
  44.         input  wire        vga_on,     // vga mode ON - scandoubler activated
  45.  
  46.  
  47.         // memory synchronization inputs
  48.         input  wire        cbeg,
  49.         input  wire        post_cbeg,
  50.         input  wire        pre_cend,
  51.         input  wire        cend,
  52.  
  53.  
  54.         // memory arbiter video port connection
  55.         input  wire        video_strobe,
  56.         input  wire        video_next,
  57.         output wire [20:0] video_addr,
  58.         input  wire [15:0] video_data,
  59.         output wire [ 1:0] video_bw,
  60.         output wire        video_go,
  61.  
  62.  
  63.         // atm palette write strobe adn data
  64.         input  wire        atm_palwr,
  65.         input  wire [ 5:0] atm_paldata,
  66.  
  67.  
  68.         output wire        int_start,
  69.  
  70.  
  71.  
  72.         input  wire [10:0] fnt_a,
  73.         input  wire [ 7:0] fnt_d,
  74.         input  wire        fnt_wr,
  75.  
  76.         output wire [ 5:0] palcolor, // for palette readback
  77.  
  78.         output wire [ 7:0] fontrom_readback
  79. );
  80.  
  81.         // these decoded in video_modedecode.v
  82.         wire mode_atm_n_pent;
  83.         wire mode_zx;
  84.         wire mode_p_16c;
  85.         wire mode_p_hmclr;
  86.         wire mode_a_hmclr;
  87.         wire mode_a_16c;
  88.         wire mode_a_text;
  89.         wire mode_a_txt_1page;
  90.         wire mode_pixf_14;
  91.  
  92.  
  93.  
  94.         // synchronization
  95.         wire hsync_start;
  96.         wire line_start;
  97.         wire hint_start;
  98.  
  99.  
  100.         wire vblank;
  101.         wire hblank;
  102.  
  103.         wire vpix;
  104.         wire hpix;
  105.  
  106.         wire vsync;
  107.         wire hsync;
  108.  
  109.         wire vga_hsync;
  110.  
  111.         wire scanin_start;
  112.         wire scanout_start;
  113.  
  114.  
  115.  
  116.         wire fetch_start;
  117.         wire fetch_end;
  118.         wire fetch_sync;
  119.  
  120.  
  121.         wire [63:0] pic_bits;
  122.  
  123.  
  124.         wire [3:0] pixels;
  125.  
  126.  
  127.         wire [5:0] color;
  128.         wire [5:0] vga_color;
  129.  
  130.  
  131.         wire [2:0] typos;
  132.  
  133.  
  134.  
  135.         // decode video modes
  136.         video_modedecode video_modedecode(
  137.  
  138.                 .clk(clk),
  139.  
  140.                 .pent_vmode(pent_vmode),
  141.                 .atm_vmode (atm_vmode),
  142.  
  143.                 .mode_atm_n_pent (mode_atm_n_pent ),
  144.                 .mode_zx         (mode_zx         ),
  145.                 .mode_p_16c      (mode_p_16c      ),
  146.                 .mode_p_hmclr    (mode_p_hmclr    ),
  147.                 .mode_a_hmclr    (mode_a_hmclr    ),
  148.                 .mode_a_16c      (mode_a_16c      ),
  149.                 .mode_a_text     (mode_a_text     ),
  150.                 .mode_a_txt_1page(mode_a_txt_1page),
  151.  
  152.                 .mode_pixf_14(mode_pixf_14),
  153.  
  154.                 .mode_bw(video_bw)
  155.         );
  156.  
  157.  
  158.  
  159.  
  160.  
  161.  
  162.         // vertical sync generator
  163.         video_sync_v video_sync_v(
  164.  
  165.                 .clk(clk),
  166.  
  167.                 .mode_atm_n_pent(mode_atm_n_pent),
  168.  
  169.                 .hsync_start(hsync_start),
  170.                 .line_start(line_start),
  171.                 .hint_start(hint_start),
  172.  
  173.                 .vblank(vblank),
  174.                 .vsync(vsync),
  175.                 .vpix(vpix),
  176.  
  177.                 .int_start(int_start)
  178.         );
  179.  
  180.  
  181.         // horizontal sync generator
  182.         video_sync_h video_sync_h(
  183.  
  184.                 .clk(clk),
  185.  
  186.                 .mode_atm_n_pent(mode_atm_n_pent),
  187.                 .mode_a_text    (mode_a_text),
  188.  
  189.  
  190.                 .init(1'b0),
  191.  
  192.                 .pre_cend(pre_cend),
  193.                 .cend    (cend    ),
  194.  
  195.  
  196.                 .hblank(hblank),
  197.                 .hsync(hsync),
  198.                 .hpix(hpix),
  199.  
  200.                 .line_start(line_start),
  201.                 .hsync_start(hsync_start),
  202.  
  203.                 .hint_start(hint_start),
  204.  
  205.                 .scanin_start(scanin_start),
  206.  
  207.                 .fetch_start(fetch_start),
  208.                 .fetch_end  (fetch_end  )
  209.  
  210.         );
  211.  
  212.  
  213.         // address generation
  214.         video_addrgen video_addrgen(
  215.  
  216.                 .clk(clk),
  217.  
  218.                 .video_addr(video_addr),
  219.                 .video_next(video_next),
  220.  
  221.                 .line_start(hsync_start),
  222.                 .int_start (int_start ),
  223.                 .vpix      (vpix      ),
  224.  
  225.                 .scr_page(scr_page),
  226.  
  227.                 .typos(typos),
  228.  
  229.                 .mode_atm_n_pent (mode_atm_n_pent ),
  230.                 .mode_zx         (mode_zx         ),
  231.                 .mode_p_16c      (mode_p_16c      ),
  232.                 .mode_p_hmclr    (mode_p_hmclr    ),
  233.                 .mode_a_hmclr    (mode_a_hmclr    ),
  234.                 .mode_a_16c      (mode_a_16c      ),
  235.                 .mode_a_text     (mode_a_text     ),
  236.                 .mode_a_txt_1page(mode_a_txt_1page)
  237.         );
  238.  
  239.  
  240.         // data fetch
  241.         video_fetch video_fetch(
  242.  
  243.                 .clk(clk),
  244.  
  245.                 .pre_cend (pre_cend),
  246.                 .cend     (cend    ),
  247.  
  248.                 .vpix(vpix),
  249.  
  250.                 .fetch_start(fetch_start),
  251.                 .fetch_end  (fetch_end  ),
  252.  
  253.                 .fetch_sync (fetch_sync ),
  254.  
  255.                 .video_data  (video_data  ),
  256.                 .video_strobe(video_strobe),
  257.                 .video_go    (video_go    ),
  258.  
  259.                 .pic_bits(pic_bits)
  260.         );
  261.  
  262.  
  263.         // render fetched data to pixels
  264.         video_render video_render(
  265.  
  266.                 .clk(clk),
  267.  
  268.                 .pic_bits(pic_bits),
  269.  
  270.                 .fetch_sync(fetch_sync),
  271.  
  272.                 .cbeg     (cbeg     ),
  273.                 .post_cbeg(post_cbeg),
  274.                 .pre_cend (pre_cend ),
  275.                 .cend     (cend     ),
  276.  
  277.                 .int_start(int_start),
  278.  
  279.                 .mode_atm_n_pent(mode_atm_n_pent),
  280.                 .mode_zx        (mode_zx        ),
  281.                 .mode_p_16c     (mode_p_16c     ),
  282.                 .mode_p_hmclr   (mode_p_hmclr   ),
  283.                 .mode_a_hmclr   (mode_a_hmclr   ),
  284.                 .mode_a_16c     (mode_a_16c     ),
  285.                 .mode_a_text    (mode_a_text    ),
  286.                 .mode_pixf_14   (mode_pixf_14   ),
  287.  
  288.                 .typos(typos),
  289.  
  290.                 .pixels(pixels),
  291.  
  292.  
  293.                 .fnt_a (fnt_a ),
  294.                 .fnt_d (fnt_d ),
  295.                 .fnt_wr(fnt_wr),
  296.  
  297.                 .fontrom_readback(fontrom_readback)
  298.         );
  299.  
  300.  
  301.         // combine border and pixels, apply palette
  302.         video_palframe video_palframe(
  303.  
  304.                 .clk(clk),
  305.  
  306.                 .hblank(hblank),
  307.                 .vblank(vblank),
  308.  
  309.                 .hpix(hpix),
  310.                 .vpix(vpix),
  311.  
  312.                 .pixels(pixels),
  313.                 .border(zxborder),
  314.  
  315.                 .atm_palwr  (atm_palwr  ),
  316.                 .atm_paldata(atm_paldata),
  317.  
  318.                 .color(color),
  319.  
  320.                 .palcolor(palcolor) // palette readback
  321.         );
  322.  
  323.  
  324.         // VGA hsync doubling
  325.         video_vga_sync_h video_vga_sync_h(
  326.  
  327.                 .clk(clk),
  328.  
  329.                 .hsync_start(hsync_start),
  330.  
  331.                 .scanout_start(scanout_start),
  332.  
  333.                 .vga_hsync(vga_hsync)
  334.         );
  335.  
  336.  
  337.         // VGA scandoubling
  338.         video_vga_double video_vga_double(
  339.  
  340.                 .clk(clk),
  341.  
  342.                 .hsync_start  (hsync_start  ),
  343.                 .scanout_start(scanout_start),
  344.                 .scanin_start (scanin_start ),
  345.  
  346.                 .pix_in(color),
  347.  
  348.                 .pix_out(vga_color)
  349.         );
  350.  
  351.  
  352.         // final MUXing of VGA and TV signals
  353.         video_outmux video_outmux(
  354.  
  355.                 .clk(clk),
  356.  
  357.                 .vga_on(vga_on),
  358.  
  359.  
  360.                 .tvcolor(color),
  361.                 .vgacolor(vga_color),
  362.  
  363.                 .vga_hsync(vga_hsync),
  364.                 .hsync    (hsync    ),
  365.                 .vsync    (vsync    ),
  366.  
  367.                 .vred(vred),
  368.                 .vgrn(vgrn),
  369.                 .vblu(vblu),
  370.  
  371.                 .vhsync(vhsync),
  372.                 .vvsync(vvsync),
  373.                 .vcsync(vcsync)
  374.         );
  375.  
  376.  
  377.  
  378.  
  379.  
  380.  
  381. endmodule
  382.  
  383.