Subversion Repositories pentevo

Rev

Rev 340 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. `include "../include/tune.v"
  2.  
  3. // Pentevo project (c) NedoPC 2010-2011
  4. //
  5. // mux out VGA and TV signals and make final v|h syncs, DAC data, etc.
  6.  
  7. module video_outmux(
  8.  
  9.         input  wire        clk,
  10.  
  11.  
  12.         input  wire        vga_on,
  13.  
  14.  
  15.         input  wire [ 5:0] tvcolor,
  16.         input  wire [ 5:0] vgacolor,
  17.  
  18.         input  wire        vga_hsync,
  19.         input  wire        hsync,
  20.         input  wire        vsync,
  21.  
  22.  
  23.         output reg  [ 1:0] vred,
  24.         output reg  [ 1:0] vgrn,
  25.         output reg  [ 1:0] vblu,
  26.  
  27.         output reg         vhsync,
  28.         output reg         vvsync,
  29.         output reg         vcsync
  30. );
  31.  
  32.  
  33.         always @(posedge clk)
  34.         begin
  35.                 vgrn[1:0] <= vga_on ? vgacolor[5:4] : tvcolor[5:4];
  36.                 vred[1:0] <= vga_on ? vgacolor[3:2] : tvcolor[3:2];
  37.                 vblu[1:0] <= vga_on ? vgacolor[1:0] : tvcolor[1:0];
  38.  
  39.                 vhsync <= vga_on ? vga_hsync : hsync;
  40.                 vvsync <= vsync;
  41.  
  42.                 vcsync <= ~(hsync ^ vsync);
  43.         end
  44.  
  45.  
  46.  
  47. endmodule
  48.  
  49.