Subversion Repositories pentevo

Rev

Rev 425 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // megafunction wizard: %RAM: 2-PORT%
  2. // GENERATION: STANDARD
  3. // VERSION: WM1.0
  4. // MODULE: altdpram
  5.  
  6. // ============================================================
  7. // File Name: video_fontrom.v
  8. // Megafunction Name(s):
  9. //                      altdpram
  10. //
  11. // Simulation Library Files(s):
  12. //                      altera_mf
  13. // ============================================================
  14. // ************************************************************
  15. // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  16. //
  17. // 7.2 Build 207 03/18/2008 SP 3 SJ Full Version
  18. // ************************************************************
  19.  
  20.  
  21. //Copyright (C) 1991-2007 Altera Corporation
  22. //Your use of Altera Corporation's design tools, logic functions
  23. //and other software and tools, and its AMPP partner logic
  24. //functions, and any output files from any of the foregoing
  25. //(including device programming or simulation files), and any
  26. //associated documentation or information are expressly subject
  27. //to the terms and conditions of the Altera Program License
  28. //Subscription Agreement, Altera MegaCore Function License
  29. //Agreement, or other applicable license agreement, including,
  30. //without limitation, that your use is for the sole purpose of
  31. //programming logic devices manufactured by Altera and sold by
  32. //Altera or its authorized distributors.  Please refer to the
  33. //applicable agreement for further details.
  34.  
  35.  
  36. // synopsys translate_off
  37. `timescale 1 ps / 1 ps
  38. // synopsys translate_on
  39. module video_fontrom (
  40.         clock,
  41.         data,
  42.         rdaddress,
  43.         rden,
  44.         wraddress,
  45.         wren,
  46.         q);
  47.  
  48.         input     clock;
  49.         input   [7:0]  data;
  50.         input   [10:0]  rdaddress;
  51.         input     rden;
  52.         input   [10:0]  wraddress;
  53.         input     wren;
  54.         output  [7:0]  q;
  55. `ifndef MODEL_TECH
  56.         wire [7:0] sub_wire0;
  57.         wire [7:0] q = sub_wire0[7:0];
  58.  
  59.         altdpram        altdpram_component (
  60.                                 .wren (wren),
  61.                                 .inclock (clock),
  62.                                 .rden (rden),
  63.                                 .data (data),
  64.                                 .rdaddress (rdaddress),
  65.                                 .wraddress (wraddress),
  66.                                 .q (sub_wire0),
  67.                                 .aclr (1'b0),
  68.                                 .byteena (1'b1),
  69.                                 .inclocken (1'b1),
  70.                                 .outclock (1'b1),
  71.                                 .outclocken (1'b1),
  72.                                 .rdaddressstall (1'b0),
  73.                                 .wraddressstall (1'b0));
  74.         defparam
  75.                 altdpram_component.indata_aclr = "OFF",
  76.                 altdpram_component.indata_reg = "INCLOCK",
  77.                 altdpram_component.intended_device_family = "ACEX1K",
  78.                 altdpram_component.lpm_file = "../video/atm.mif",
  79.                 altdpram_component.lpm_type = "altdpram",
  80.                 altdpram_component.outdata_aclr = "OFF",
  81.                 altdpram_component.outdata_reg = "UNREGISTERED",
  82.                 altdpram_component.rdaddress_aclr = "OFF",
  83.                 altdpram_component.rdaddress_reg = "INCLOCK",
  84.                 altdpram_component.rdcontrol_aclr = "OFF",
  85.                 altdpram_component.rdcontrol_reg = "INCLOCK",
  86.                 altdpram_component.width = 8,
  87.                 altdpram_component.widthad = 11,
  88.                 altdpram_component.wraddress_aclr = "OFF",
  89.                 altdpram_component.wraddress_reg = "INCLOCK",
  90.                 altdpram_component.wrcontrol_aclr = "OFF",
  91.                 altdpram_component.wrcontrol_reg = "INCLOCK";
  92. `else
  93.         reg [7:0] q;
  94.        
  95.         integer fd;
  96.  
  97.         reg [7:0] font [0:2047];
  98.  
  99.         initial
  100.         begin
  101.                 fd = $fopen("../video/atm.fnt","rb");
  102.  
  103.                 if( 2048!=$fread(font,fd) )
  104.                 begin
  105.                         $display("Couldn't load atm.fnt!\n");
  106.                         $stop;
  107.                 end
  108.  
  109.                 $fclose(fd);
  110.         end
  111.  
  112.         always @(posedge clock)
  113.         if( wren )
  114.                 font[wraddress] <= data;
  115.  
  116.         always @(posedge clock)
  117.         if( rden )
  118.                 q <= font[rdaddress];
  119.  
  120. `endif
  121.  
  122. endmodule
  123.  
  124. // ============================================================
  125. // CNX file retrieval info
  126. // ============================================================
  127. // Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
  128. // Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
  129. // Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
  130. // Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
  131. // Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
  132. // Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
  133. // Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "1"
  134. // Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
  135. // Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
  136. // Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
  137. // Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
  138. // Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
  139. // Retrieval info: PRIVATE: CLRdata NUMERIC "0"
  140. // Retrieval info: PRIVATE: CLRq NUMERIC "0"
  141. // Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
  142. // Retrieval info: PRIVATE: CLRrren NUMERIC "0"
  143. // Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
  144. // Retrieval info: PRIVATE: CLRwren NUMERIC "0"
  145. // Retrieval info: PRIVATE: Clock NUMERIC "0"
  146. // Retrieval info: PRIVATE: Clock_A NUMERIC "0"
  147. // Retrieval info: PRIVATE: Clock_B NUMERIC "0"
  148. // Retrieval info: PRIVATE: ECC NUMERIC "0"
  149. // Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
  150. // Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
  151. // Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
  152. // Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
  153. // Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
  154. // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "ACEX1K"
  155. // Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
  156. // Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
  157. // Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
  158. // Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384"
  159. // Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
  160. // Retrieval info: PRIVATE: MIFfilename STRING "../video/atm.mif"
  161. // Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
  162. // Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
  163. // Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1"
  164. // Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
  165. // Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "0"
  166. // Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
  167. // Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
  168. // Retrieval info: PRIVATE: REGdata NUMERIC "1"
  169. // Retrieval info: PRIVATE: REGq NUMERIC "0"
  170. // Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
  171. // Retrieval info: PRIVATE: REGrren NUMERIC "1"
  172. // Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
  173. // Retrieval info: PRIVATE: REGwren NUMERIC "1"
  174. // Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
  175. // Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
  176. // Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
  177. // Retrieval info: PRIVATE: VarWidth NUMERIC "0"
  178. // Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
  179. // Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
  180. // Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
  181. // Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
  182. // Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
  183. // Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
  184. // Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
  185. // Retrieval info: PRIVATE: enable NUMERIC "0"
  186. // Retrieval info: PRIVATE: rden NUMERIC "1"
  187. // Retrieval info: CONSTANT: INDATA_ACLR STRING "OFF"
  188. // Retrieval info: CONSTANT: INDATA_REG STRING "INCLOCK"
  189. // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "ACEX1K"
  190. // Retrieval info: CONSTANT: LPM_FILE STRING "../video/atm.mif"
  191. // Retrieval info: CONSTANT: LPM_TYPE STRING "altdpram"
  192. // Retrieval info: CONSTANT: OUTDATA_ACLR STRING "OFF"
  193. // Retrieval info: CONSTANT: OUTDATA_REG STRING "UNREGISTERED"
  194. // Retrieval info: CONSTANT: RDADDRESS_ACLR STRING "OFF"
  195. // Retrieval info: CONSTANT: RDADDRESS_REG STRING "INCLOCK"
  196. // Retrieval info: CONSTANT: RDCONTROL_ACLR STRING "OFF"
  197. // Retrieval info: CONSTANT: RDCONTROL_REG STRING "INCLOCK"
  198. // Retrieval info: CONSTANT: WIDTH NUMERIC "8"
  199. // Retrieval info: CONSTANT: WIDTHAD NUMERIC "11"
  200. // Retrieval info: CONSTANT: WRADDRESS_ACLR STRING "OFF"
  201. // Retrieval info: CONSTANT: WRADDRESS_REG STRING "INCLOCK"
  202. // Retrieval info: CONSTANT: WRCONTROL_ACLR STRING "OFF"
  203. // Retrieval info: CONSTANT: WRCONTROL_REG STRING "INCLOCK"
  204. // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
  205. // Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
  206. // Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
  207. // Retrieval info: USED_PORT: rdaddress 0 0 11 0 INPUT NODEFVAL rdaddress[10..0]
  208. // Retrieval info: USED_PORT: rden 0 0 0 0 INPUT VCC rden
  209. // Retrieval info: USED_PORT: wraddress 0 0 11 0 INPUT NODEFVAL wraddress[10..0]
  210. // Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
  211. // Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0
  212. // Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0
  213. // Retrieval info: CONNECT: @wraddress 0 0 11 0 wraddress 0 0 11 0
  214. // Retrieval info: CONNECT: @rdaddress 0 0 11 0 rdaddress 0 0 11 0
  215. // Retrieval info: CONNECT: @wren 0 0 0 0 wren 0 0 0 0
  216. // Retrieval info: CONNECT: @rden 0 0 0 0 rden 0 0 0 0
  217. // Retrieval info: CONNECT: @inclock 0 0 0 0 clock 0 0 0 0
  218. // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
  219. // Retrieval info: GEN_FILE: TYPE_NORMAL video_fontrom.v TRUE
  220. // Retrieval info: GEN_FILE: TYPE_NORMAL video_fontrom.inc FALSE
  221. // Retrieval info: GEN_FILE: TYPE_NORMAL video_fontrom.cmp FALSE
  222. // Retrieval info: GEN_FILE: TYPE_NORMAL video_fontrom.bsf TRUE FALSE
  223. // Retrieval info: GEN_FILE: TYPE_NORMAL video_fontrom_inst.v FALSE
  224. // Retrieval info: GEN_FILE: TYPE_NORMAL video_fontrom_bb.v FALSE
  225. // Retrieval info: LIB_FILE: altera_mf
  226.