Subversion Repositories pentevo

Rev

Rev 576 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. `include "../include/tune.v"
  2.  
  3. // Pentevo project (c) NedoPC 2008-2012
  4. //
  5. // top-level
  6.  
  7. module top(
  8.  
  9.         // clocks
  10.         input fclk,
  11.         output clkz_out,
  12.         input clkz_in,
  13.  
  14.         // z80
  15.         input iorq_n,
  16.         input mreq_n,
  17.         input rd_n,
  18.         input wr_n,
  19.         input m1_n,
  20.         input rfsh_n,
  21.         output int_n,
  22.         output nmi_n,
  23.         output wait_n,
  24.         output res,
  25.  
  26.         inout [7:0] d,
  27.         input [15:0] a,
  28.  
  29.         // zxbus and related
  30.         output csrom,
  31.         output romoe_n,
  32.         output romwe_n,
  33.  
  34.         output rompg0_n,
  35.         output dos_n, // aka rompg1
  36.         output rompg2,
  37.         output rompg3,
  38.         output rompg4,
  39.  
  40.         input iorqge1,
  41.         input iorqge2,
  42.         output iorq1_n,
  43.         output iorq2_n,
  44.  
  45.         // DRAM
  46.         inout [15:0] rd,
  47.         output [9:0] ra,
  48.         output rwe_n,
  49.         output rucas_n,
  50.         output rlcas_n,
  51.         output rras0_n,
  52.         output rras1_n,
  53.  
  54.         // video
  55.         output [1:0] vred,
  56.         output [1:0] vgrn,
  57.         output [1:0] vblu,
  58.  
  59.         output vhsync,
  60.         output vvsync,
  61.         output vcsync,
  62.  
  63.         // AY control and audio/tape
  64.         output ay_clk,
  65.         output ay_bdir,
  66.         output ay_bc1,
  67.  
  68.         output beep,
  69.  
  70.         // IDE
  71.         output [2:0] ide_a,
  72.         inout [15:0] ide_d,
  73.  
  74.         output ide_dir,
  75.  
  76.         input ide_rdy,
  77.  
  78.         output ide_cs0_n,
  79.         output ide_cs1_n,
  80.         output ide_rs_n,
  81.         output ide_rd_n,
  82.         output ide_wr_n,
  83.  
  84.         // VG93 and diskdrive
  85.         output vg_clk,
  86.  
  87.         output vg_cs_n,
  88.         output vg_res_n,
  89.  
  90.         output vg_hrdy,
  91.         output vg_rclk,
  92.         output vg_rawr,
  93.         output [1:0] vg_a, // disk drive selection
  94.         output vg_wrd,
  95.         output vg_side,
  96.  
  97.         input step,
  98.         input vg_sl,
  99.         input vg_sr,
  100.         input vg_tr43,
  101.         input rdat_b_n,
  102.         input vg_wf_de,
  103.         input vg_drq,
  104.         input vg_irq,
  105.         input vg_wd,
  106.  
  107.         // serial links (atmega-fpga, sdcard)
  108.         output sdcs_n,
  109.         output sddo,
  110.         output sdclk,
  111.         input sddi,
  112.  
  113.         input spics_n,
  114.         input spick,
  115.         input spido,
  116.         output spidi,
  117.         output spiint_n
  118. );
  119.  
  120.         wire dos;
  121.  
  122.  
  123.         wire zclk; // z80 clock for short
  124.  
  125.         wire zpos,zneg;
  126.  
  127.         wire rst_n; // global reset
  128.  
  129.         wire rrdy;
  130.         wire [15:0] rddata;
  131.  
  132.         wire [4:0] rompg;
  133.  
  134.         wire [7:0] zports_dout;
  135.         wire zports_dataout;
  136.         wire porthit;
  137.  
  138.  
  139.         wire [39:0] kbd_data;
  140.         wire [ 7:0] mus_data;
  141.         wire kbd_stb,mus_xstb,mus_ystb,mus_btnstb,kj_stb;
  142.  
  143.         wire [ 4:0] kbd_port_data;
  144.         wire [ 4:0] kj_port_data;
  145.         wire [ 7:0] mus_port_data;
  146.  
  147.  
  148.  
  149.  
  150.         wire [7:0] wait_read,wait_write;
  151.         wire wait_rnw;
  152.         wire wait_start_gluclock;
  153.         wire wait_start_comport;
  154.         wire wait_end;
  155.         wire [7:0] gluclock_addr;
  156.         wire [2:0] comport_addr;
  157.         wire [6:0] waits;
  158.  
  159.  
  160.  
  161.  
  162.         // config signals
  163.         wire [7:0] not_used;
  164.         wire cfg_vga_on;
  165.  
  166.         // nmi signals
  167.         wire gen_nmi;
  168.         wire clr_nmi;
  169.         wire in_nmi;
  170.         wire [1:0] set_nmi;
  171.         wire imm_nmi;
  172.  
  173.         // breakpoint signals
  174.         wire brk_ena;
  175.         wire [15:0] brk_addr;
  176.  
  177.  
  178.         wire tape_in;
  179.  
  180.         wire [15:0] ideout;
  181.         wire [15:0] idein;
  182.         wire idedataout;
  183.  
  184.  
  185.         wire [7:0] zmem_dout;
  186.         wire zmem_dataout;
  187.  
  188.  
  189.  
  190.         reg [3:0] ayclk_gen;
  191.  
  192.  
  193.         wire [7:0] received;
  194.         wire [7:0] tobesent;
  195.  
  196.  
  197.         wire intrq,drq;
  198.         wire vg_wrFF;
  199.  
  200.  
  201.  
  202.  
  203.  
  204.         assign zclk = clkz_in;
  205.  
  206.  
  207.         // RESETTER
  208.         wire genrst;
  209.  
  210.         resetter myrst( .clk(fclk),
  211.                         .rst_in_n(~genrst),
  212.                         .rst_out_n(rst_n) );
  213.         defparam myrst.RST_CNT_SIZE = 6;
  214.  
  215.  
  216.  
  217.         assign nmi_n=gen_nmi ? 1'b0 : 1'bZ;
  218.  
  219.         assign res= ~rst_n;
  220.  
  221.  
  222.  
  223.  
  224.  
  225.  
  226.  
  227.  
  228.         assign ide_rs_n = rst_n;
  229.  
  230.         assign ide_d = idedataout ? ideout : 16'hZZZZ;
  231.         assign idein = ide_d;
  232.  
  233.         assign ide_dir = ~idedataout;
  234.  
  235.  
  236.  
  237.  
  238.  
  239.         wire [7:0] peff7;
  240.         wire [7:0] p7ffd;
  241.  
  242.  
  243.         wire romrw_en;
  244.         wire cpm_n;
  245.         wire fnt_wr;
  246.  
  247.  
  248.  
  249.         wire cpu_req,cpu_rnw,cpu_wrbsel,cpu_strobe;
  250.         wire [20:0] cpu_addr;
  251.         wire [15:0] cpu_rddata;
  252.         wire [7:0] cpu_wrdata;
  253.  
  254.         wire cbeg,post_cbeg,pre_cend,cend;
  255.  
  256.         wire go;
  257.  
  258.  
  259.         // AVR SDcard control
  260.         wire       avr_lock_claim,
  261.                    avr_lock_grant,
  262.                    avr_sdcs_n,
  263.                    avr_sd_start;
  264.         wire [7:0] avr_sd_datain;
  265.         wire [7:0] avr_sd_dataout;
  266.  
  267.         // ZX SDcard control
  268.         wire       zx_sdcs_n_val,
  269.                    zx_sdcs_n_stb,
  270.                    zx_sd_start;
  271.         wire [7:0] zx_sd_datain;
  272.         wire [7:0] zx_sd_dataout;
  273.  
  274.  
  275.         wire tape_read; // data for tapein
  276.  
  277.         wire beeper_mux; // what is mixed to FPGA beeper output - beeper (0) or tapeout (1)
  278.  
  279.         wire [2:0] atm_scr_mode;
  280.  
  281.         wire atm_turbo;
  282.  
  283.  
  284.         wire beeper_wr, covox_wr;
  285.  
  286.  
  287.  
  288.         wire [5:0] palcolor; // palette readback
  289.  
  290.  
  291.  
  292.  
  293.         wire [1:0] int_turbo;
  294.         wire cpu_next;
  295.         wire cpu_stall;
  296.  
  297.         wire external_port;
  298.  
  299.  
  300.  
  301. //AY control
  302.         always @(posedge fclk)
  303.         begin
  304.                 ayclk_gen <= ayclk_gen + 4'd1;
  305.         end
  306.  
  307.         assign ay_clk = ayclk_gen[3];
  308.  
  309.  
  310.  
  311.  
  312.  
  313.         // fix ATM2-style ROM addressing for PENT-like ROM layout.
  314.         // this causes compications when writing to the flashROM from Z80
  315.         // and need to split and re-build old ATM romfiles before burning in
  316.         // flash
  317. //      wire [1:0] adr_fix;
  318. //      assign adr_fix = ~{ rompg[0], rompg[1] };
  319. //      assign rompg0_n = ~adr_fix[0];
  320. //      assign dos_n    =  adr_fix[1];
  321. //      assign rompg2   =  1'b0;//rompg[2];
  322. //      assign rompg3   =  1'b0;//rompg[3];
  323. //      assign rompg4   =  1'b0;//rompg[4];
  324.  
  325.         assign rompg0_n = ~rompg[0];
  326.         assign dos_n    =  rompg[1];
  327.         assign rompg2   =  rompg[2];
  328.         assign rompg3   =  rompg[3];
  329.         assign rompg4   =  rompg[4];
  330.  
  331.         wire [3:0] zclk_stall;
  332.  
  333.         zclock zclock
  334.         (
  335.                 .fclk(fclk), .rst_n(rst_n), .zclk(zclk), .rfsh_n(rfsh_n), .zclk_out(clkz_out),
  336.                 .zpos(zpos), .zneg(zneg),
  337.                 .turbo( {atm_turbo,~(peff7[4])} ), .pre_cend(pre_cend), .cbeg(cbeg),
  338.                 .zclk_stall( cpu_stall | (|zclk_stall) ), .int_turbo(int_turbo),
  339.                 .external_port(external_port), .iorq_n(iorq_n), .m1_n(m1_n)
  340.         );
  341.  
  342.  
  343.  
  344.         wire [7:0] dout_ram;
  345.         wire ena_ram;
  346.         wire [7:0] dout_ports;
  347.         wire ena_ports;
  348.  
  349.  
  350.         wire [3:0] border;
  351.  
  352.         wire drive_ff;
  353.  
  354.  
  355.         wire       atm_palwr;
  356.         wire [5:0] atm_paldata;
  357.  
  358.         wire [7:0] fontrom_readback;
  359.  
  360.  
  361.  
  362.  
  363.         wire int_start;
  364.  
  365.  
  366.         // data bus out: either RAM data or internal ports data or 0xFF with unused ports
  367.         assign d = ena_ram ? dout_ram : ( ena_ports ? dout_ports : ( drive_ff ? 8'hFF : 8'bZZZZZZZZ ) );
  368.  
  369.  
  370.  
  371.  
  372.         zbus zxbus( .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .m1_n(m1_n),
  373.                     .iorq1_n(iorq1_n), .iorq2_n(iorq2_n), .iorqge1(iorqge1), .iorqge2(iorqge2),
  374.                     .porthit(porthit), .drive_ff(drive_ff) );
  375.  
  376.  
  377.  
  378.  
  379.         /////////////////////////////////////
  380.         // ATM memory pagers instantiation //
  381.         /////////////////////////////////////
  382.  
  383.         wire pager_off;
  384.  
  385.         wire        pent1m_ROM;
  386.         wire [ 5:0] pent1m_page;
  387.         wire        pent1m_ram0_0;
  388.         wire        pent1m_1m_on;
  389.  
  390.         wire atmF7_wr_fclk;
  391.  
  392.         wire [3:0] dos_turn_off,
  393.                    dos_turn_on;
  394.  
  395.         wire [ 7:0] page [0:3];
  396.         wire [ 3:0] romnram;
  397.  
  398.         // for reading back data via xxBE port
  399.         wire [ 7:0] rd_pages [0:7];
  400.         wire [ 7:0] rd_ramnrom;
  401.         wire [ 7:0] rd_dos7ffd;
  402.  
  403.         generate
  404.  
  405.                 genvar i;
  406.  
  407.                 for(i=0;i<4;i=i+1)
  408.                 begin : instantiate_atm_pagers
  409.  
  410.                         atm_pager #( .ADDR(i) )
  411.                                   atm_pager( .rst_n(rst_n),
  412.                                              .fclk (fclk),
  413.                                              .zpos (zpos),
  414.                                              .zneg (zneg),
  415.  
  416.                                              .za(a),
  417.                                              .zd(d),
  418.                                              .mreq_n(mreq_n),
  419.                                              .rd_n  (rd_n),
  420.                                              .m1_n  (m1_n),
  421.  
  422.                                              .pager_off(pager_off),
  423.  
  424.                                              .pent1m_ROM   (pent1m_ROM),
  425.                                              .pent1m_page  (pent1m_page),
  426.                                              .pent1m_ram0_0(pent1m_ram0_0),
  427.                                              .pent1m_1m_on (pent1m_1m_on),
  428.  
  429.  
  430.                                              .in_nmi(in_nmi),
  431.  
  432.                                              .atmF7_wr(atmF7_wr_fclk),
  433.  
  434.                                              .dos(dos),
  435.  
  436.                                              .dos_turn_on (dos_turn_on[i]),
  437.                                              .dos_turn_off(dos_turn_off[i]),
  438.  
  439.                                              .zclk_stall(zclk_stall[i]),
  440.  
  441.                                              .page   (page[i]),
  442.                                              .romnram(romnram[i]),
  443.  
  444.  
  445.                                              .rd_page0  (rd_pages[i  ]),
  446.                                              .rd_page1  (rd_pages[i+4]),
  447.  
  448.                                              .rd_ramnrom( {rd_ramnrom[i+4], rd_ramnrom[i]} ),
  449.                                              .rd_dos7ffd( {rd_dos7ffd[i+4], rd_dos7ffd[i]} )
  450.                                            );
  451.                 end
  452.  
  453.         endgenerate
  454.  
  455.  
  456.         ///////////////////////////
  457.         // DOS signal controller //
  458.         ///////////////////////////
  459.  
  460.         zdos zdos( .rst_n(rst_n),
  461.  
  462.                    .fclk(fclk),
  463.  
  464.                    .dos_turn_on ( |dos_turn_on  ),
  465.                    .dos_turn_off( |dos_turn_off ),
  466.  
  467.                    .cpm_n(cpm_n),
  468.  
  469.                    .dos(dos)
  470.                  );
  471.  
  472.  
  473.  
  474.  
  475.         ///////////////////////////
  476.         // Z80 memory controller //
  477.         ///////////////////////////
  478.  
  479.         zmem z80mem
  480.         (
  481.                 .fclk (fclk ),
  482.                 .rst_n(rst_n),
  483.                
  484.                 .zpos(zpos),
  485.                 .zneg(zneg),
  486.  
  487.                 .cbeg     (cbeg     ),
  488.                 .post_cbeg(post_cbeg),
  489.                 .pre_cend (pre_cend ),
  490.                 .cend     (cend     ),
  491.                
  492.                 .za    (a       ),
  493.                 .zd_in (d       ),
  494.                 .zd_out(dout_ram),
  495.                 .zd_ena(ena_ram ),
  496.                 .m1_n  (m1_n    ),
  497.                 .rfsh_n(rfsh_n  ),
  498.                 .iorq_n(iorq_n  ),
  499.                 .mreq_n(mreq_n  ),
  500.                 .rd_n  (rd_n    ),
  501.                 .wr_n  (wr_n    ),
  502.  
  503.                 .win0_romnram(romnram[0]),
  504.                 .win1_romnram(romnram[1]),
  505.                 .win2_romnram(romnram[2]),
  506.                 .win3_romnram(romnram[3]),
  507.  
  508.                 .win0_page(page[0]),
  509.                 .win1_page(page[1]),
  510.                 .win2_page(page[2]),
  511.                 .win3_page(page[3]),
  512.  
  513.                 .romrw_en(romrw_en),
  514.  
  515.                 .rompg  (rompg  ),
  516.                 .romoe_n(romoe_n),
  517.                 .romwe_n(romwe_n),
  518.                 .csrom  (csrom  ),
  519.  
  520.                 .cpu_req   (cpu_req   ),
  521.                 .cpu_rnw   (cpu_rnw   ),
  522.                 .cpu_wrbsel(cpu_wrbsel),
  523.                 .cpu_strobe(cpu_strobe),
  524.                 .cpu_addr  (cpu_addr  ),
  525.                 .cpu_wrdata(cpu_wrdata),
  526.                 .cpu_rddata(cpu_rddata),
  527.                 .cpu_stall (cpu_stall ),
  528.                 .cpu_next  (cpu_next  ),
  529.  
  530.                 .int_turbo(int_turbo)
  531.         );
  532.  
  533.  
  534.  
  535.  
  536.         wire [20:0] daddr;
  537.         wire dreq;
  538.         wire drnw;
  539.         wire [15:0] drddata;
  540.         wire [15:0] dwrdata;
  541.         wire [1:0] dbsel;
  542.  
  543.  
  544.  
  545.  
  546.         dram dram( .clk(fclk),
  547.                    .rst_n(rst_n),
  548.  
  549.                    .addr(daddr),
  550.                    .req(dreq),
  551.                    .rnw(drnw),
  552.                    .cbeg(cbeg),
  553.                    .rrdy(drrdy),
  554.                    .rddata(drddata),
  555.                    .wrdata(dwrdata),
  556.                    .bsel(dbsel),
  557.  
  558.                    .ra(ra),
  559.                    .rd(rd),
  560.                    .rwe_n(rwe_n),
  561.                    .rucas_n(rucas_n),
  562.                    .rlcas_n(rlcas_n),
  563.                    .rras0_n(rras0_n),
  564.                    .rras1_n(rras1_n)
  565.                  );
  566.  
  567.  
  568.         wire [1:0] bw;
  569.  
  570.         wire [20:0] video_addr;
  571.         wire [15:0] video_data;
  572.         wire video_strobe;
  573.         wire video_next;
  574.  
  575.         arbiter dramarb( .clk(fclk),
  576.                          .rst_n(rst_n),
  577.  
  578.                          .dram_addr(daddr),
  579.                          .dram_req(dreq),
  580.                          .dram_rnw(drnw),
  581.                          .dram_cbeg(cbeg),
  582.                          .dram_rrdy(drrdy),
  583.                          .dram_bsel(dbsel),
  584.                          .dram_rddata(drddata),
  585.                          .dram_wrdata(dwrdata),
  586.  
  587.                          .post_cbeg(post_cbeg),
  588.                          .pre_cend (pre_cend ),
  589.                          .cend     (cend     ),
  590.  
  591.                          .go(go),
  592.                          .bw(bw),
  593.  
  594.                          .video_addr(video_addr),
  595.                          .video_data(video_data),
  596.                          .video_strobe(video_strobe),
  597.                          .video_next(video_next),
  598.  
  599.                          //.cpu_waitcyc(cpu_waitcyc),
  600.                          .cpu_next (cpu_next),
  601.                          .cpu_req(cpu_req),
  602.                          .cpu_rnw(cpu_rnw),
  603.                          .cpu_addr(cpu_addr),
  604.                          .cpu_wrbsel(cpu_wrbsel),
  605.                          .cpu_wrdata(cpu_wrdata),
  606.                          .cpu_rddata(cpu_rddata),
  607.                          .cpu_strobe(cpu_strobe) );
  608.  
  609.         video_top video_top(
  610.  
  611.                 .clk(fclk),
  612.  
  613.                 .vred(vred),
  614.                 .vgrn(vgrn),
  615.                 .vblu(vblu),
  616.                 .vhsync(vhsync),
  617.                 .vvsync(vvsync),
  618.                 .vcsync(vcsync),
  619.  
  620.                 .zxborder(border),
  621.  
  622.                 .pent_vmode( {peff7[0],peff7[5]} ),
  623.                 .atm_vmode (atm_scr_mode),
  624.  
  625.                 .scr_page(p7ffd[3]),
  626.  
  627.                 .vga_on(cfg_vga_on),
  628.  
  629.                 .cbeg     (cbeg     ),
  630.                 .post_cbeg(post_cbeg),
  631.                 .pre_cend (pre_cend ),
  632.                 .cend     (cend     ),
  633.  
  634.                 .video_go    (go          ),
  635.                 .video_bw    (bw          ),
  636.                 .video_addr  (video_addr  ),
  637.                 .video_data  (video_data  ),
  638.                 .video_strobe(video_strobe),
  639.                 .video_next  (video_next  ),
  640.  
  641.                 .atm_palwr  (atm_palwr  ),
  642.                 .atm_paldata(atm_paldata),
  643.  
  644.                 .int_start(int_start),
  645.  
  646.                 .fnt_a (a[10:0]),
  647.                 .fnt_d (d      ),
  648.                 .fnt_wr(fnt_wr ),
  649.  
  650.                 .palcolor(palcolor),
  651.  
  652.                 .fontrom_readback(fontrom_readback)
  653.         );
  654.  
  655.  
  656.         slavespi slavespi(
  657.                 .fclk(fclk), .rst_n(rst_n),
  658.  
  659.                 .spics_n(spics_n), .spidi(spidi),
  660.                 .spido(spido), .spick(spick),
  661.                 .status_in({/* wait_rnw */ wr_n, waits[6:0]}), .genrst(genrst),
  662.                 .kbd_out(kbd_data),
  663.                 .kbd_stb(kbd_stb), .mus_out(mus_data),
  664.                 .mus_xstb(mus_xstb), .mus_ystb(mus_ystb),
  665.                 .mus_btnstb(mus_btnstb), .kj_stb(kj_stb),
  666.                 .gluclock_addr(gluclock_addr),
  667.                 .comport_addr (comport_addr),
  668.                 .wait_write(wait_write),
  669.                 .wait_read(wait_read),
  670.                 .wait_rnw(wait_rnw),
  671.                 .wait_end(wait_end),
  672.                 .config0( { not_used[7:4], beeper_mux, tape_read, set_nmi[0], cfg_vga_on} ),
  673.  
  674.                 .sd_lock_out(avr_lock_claim),
  675.                 .sd_lock_in (avr_lock_grant),
  676.                 .sd_cs_n    (avr_sdcs_n    ),
  677.                 .sd_start   (avr_sd_start  ),
  678.                 .sd_datain  (avr_sd_datain ),
  679.                 .sd_dataout (avr_sd_dataout)
  680.         );
  681.  
  682.         zkbdmus zkbdmus( .fclk(fclk), .rst_n(rst_n),
  683.                          .kbd_in(kbd_data), .kbd_stb(kbd_stb),
  684.                          .mus_in(mus_data), .mus_xstb(mus_xstb),
  685.                          .mus_ystb(mus_ystb), .mus_btnstb(mus_btnstb),
  686.                          .kj_stb(kj_stb), .kj_data(kj_port_data),
  687.                          .zah(a[15:8]), .kbd_data(kbd_port_data),
  688.                          .mus_data(mus_port_data)
  689.                        );
  690.  
  691.  
  692.         zports zports( .zclk(zclk), .fclk(fclk), .rst_n(rst_n), .zpos(zpos), .zneg(zneg),
  693.                        .din(d), .dout(dout_ports), .dataout(ena_ports),
  694.                        .a(a), .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .porthit(porthit),
  695.                        .ay_bdir(ay_bdir), .ay_bc1(ay_bc1), .border(border),
  696.                        .p7ffd(p7ffd), .peff7(peff7), .mreq_n(mreq_n), .m1_n(m1_n), .dos(dos),
  697.                        .vg_intrq(intrq), .vg_drq(drq), .vg_wrFF(vg_wrFF), .vg_cs_n(vg_cs_n),
  698.                        .idein(idein), .ideout(ideout), .idedataout(idedataout),
  699.                        .ide_a(ide_a), .ide_cs0_n(ide_cs0_n), .ide_cs1_n(ide_cs1_n),
  700.                        .ide_wr_n(ide_wr_n), .ide_rd_n(ide_rd_n),
  701.  
  702.                        .sd_cs_n_val(zx_sdcs_n_val),
  703.                        .sd_cs_n_stb(zx_sdcs_n_stb),
  704.                        .sd_start   (zx_sd_start  ),
  705.                        .sd_datain  (zx_sd_datain ),
  706.                        .sd_dataout (zx_sd_dataout),
  707.  
  708.                        .keys_in(kbd_port_data),
  709.                        .mus_in (mus_port_data),
  710.                        .kj_in  (kj_port_data ),
  711.  
  712.                        .tape_read(tape_read),
  713.  
  714.                        .gluclock_addr(gluclock_addr),
  715.                        .comport_addr (comport_addr ),
  716.                        .wait_start_gluclock(wait_start_gluclock),
  717.                        .wait_start_comport (wait_start_comport ),
  718.                        .wait_rnw  (wait_rnw  ),
  719.                        .wait_write(wait_write),
  720. `ifndef SIMULATE
  721.                        .wait_read (wait_read ),
  722. `else
  723.                        .wait_read(8'hFF),
  724. `endif
  725.                 .atmF7_wr_fclk(atmF7_wr_fclk),
  726.  
  727.                 .atm_scr_mode(atm_scr_mode),
  728.                 .atm_turbo   (atm_turbo),
  729.                 .atm_pen     (pager_off),
  730.                 .atm_cpm_n   (cpm_n),
  731.                 .atm_pen2    (atm_pen2),
  732.  
  733.                 .romrw_en(romrw_en),
  734.  
  735.                 .pent1m_ram0_0(pent1m_ram0_0),
  736.                 .pent1m_1m_on (pent1m_1m_on),
  737.                 .pent1m_page  (pent1m_page),
  738.                 .pent1m_ROM   (pent1m_ROM),
  739.  
  740.                 .atm_palwr  (atm_palwr  ),
  741.                 .atm_paldata(atm_paldata),
  742.  
  743.                 .beeper_wr(beeper_wr),
  744.                 .covox_wr (covox_wr ),
  745.  
  746.                 .fnt_wr(fnt_wr),
  747.                 .clr_nmi(clr_nmi),
  748.  
  749.  
  750.                 .pages(~{ rd_pages[7], rd_pages[6],
  751.                           rd_pages[5], rd_pages[4],
  752.                           rd_pages[3], rd_pages[2],
  753.                           rd_pages[1], rd_pages[0] }),
  754.  
  755.                 .ramnroms( rd_ramnrom ),
  756.                 .dos7ffds( rd_dos7ffd ),
  757.  
  758.                 .palcolor(palcolor),
  759.                 .fontrom_readback(fontrom_readback),
  760.  
  761.                 .external_port(external_port),
  762.  
  763.                 .set_nmi(set_nmi[1]),
  764.  
  765.                 .brk_ena (brk_ena ),
  766.                 .brk_addr(brk_addr)
  767.         );
  768.  
  769.  
  770.         zint zint(
  771.                 .fclk(fclk),
  772.                 .zpos(zpos),
  773.                 .zneg(zneg),
  774.  
  775.                 .int_start(int_start),
  776.  
  777.                 .iorq_n(iorq_n),
  778.                 .m1_n  (m1_n  ),
  779.  
  780.                 .int_n(int_n)
  781.         );
  782.  
  783.         znmi znmi
  784.         (
  785.                 .rst_n(rst_n),
  786.                 .fclk(fclk),
  787.                 .zpos(zpos),
  788.                 .zneg(zneg),
  789.  
  790.                 .rfsh_n(rfsh_n),
  791.                 .m1_n  (m1_n  ),
  792.                 .mreq_n(mreq_n),
  793.                 .csrom (csrom ),
  794.                 .a     (a     ),
  795.  
  796.                 .int_start(int_start),
  797.  
  798.                 .set_nmi(set_nmi),
  799.                 .imm_nmi(imm_nmi),
  800.                 .clr_nmi(clr_nmi),
  801.  
  802.                 .in_nmi (in_nmi ),
  803.                 .gen_nmi(gen_nmi)
  804.         );
  805.  
  806.  
  807.         zbreak zbreak
  808.         (
  809.                 .rst_n(rst_n),
  810.                 .fclk(fclk),
  811.                 .zpos(zpos),
  812.                 .zneg(zneg),
  813.  
  814.                 .m1_n  (m1_n  ),
  815.                 .mreq_n(mreq_n),
  816.                 .a     (a     ),
  817.  
  818.                 .imm_nmi(imm_nmi),
  819.  
  820.                 .brk_ena (brk_ena ),
  821.                 .brk_addr(brk_addr)
  822.         );
  823.  
  824.  
  825.  
  826.  
  827.  
  828.  
  829.         zwait zwait( .wait_start_gluclock(wait_start_gluclock),
  830.                      .wait_start_comport (wait_start_comport),
  831.                      .wait_end(wait_end),
  832.                      .rst_n(rst_n),
  833.                      .wait_n(wait_n),
  834.                      .waits(waits),
  835.                      .spiint_n(spiint_n) );
  836.  
  837.  
  838.  
  839.  
  840.         wire [1:0] vg_ddrv;
  841.         assign vg_a[0] = vg_ddrv[0] ? 1'b1 : 1'b0; // possibly open drain?
  842.         assign vg_a[1] = vg_ddrv[1] ? 1'b1 : 1'b0;
  843.  
  844.         vg93 vgshka( .zclk(zclk), .rst_n(rst_n), .fclk(fclk), .vg_clk(vg_clk),
  845.                      .vg_res_n(vg_res_n), .din(d), .intrq(intrq), .drq(drq), .vg_wrFF(vg_wrFF),
  846.                      .vg_hrdy(vg_hrdy), .vg_rclk(vg_rclk), .vg_rawr(vg_rawr), .vg_a(vg_ddrv),
  847.                      .vg_wrd(vg_wrd), .vg_side(vg_side), .step(step), .vg_sl(vg_sl), .vg_sr(vg_sr),
  848.                      .vg_tr43(vg_tr43), .rdat_n(rdat_b_n), .vg_wf_de(vg_wf_de), .vg_drq(vg_drq),
  849.                      .vg_irq(vg_irq), .vg_wd(vg_wd) );
  850.  
  851.  
  852.  
  853.  
  854. //      spi2 zspi( .clock(fclk), .sck(sdclk), .sdo(sddo), .sdi(sddi), .start(sd_start),
  855. //                 .speed(2'b00), .din(sd_datain), .dout(sd_dataout) );
  856.         spihub spihub(
  857.  
  858.                 .fclk (fclk ),
  859.                 .rst_n(rst_n),
  860.  
  861.                 .sdcs_n(sdcs_n),
  862.                 .sdclk (sdclk ),
  863.                 .sddo  (sddo  ),
  864.                 .sddi  (sddi  ),
  865.  
  866.                 .zx_sdcs_n_val(zx_sdcs_n_val),
  867.                 .zx_sdcs_n_stb(zx_sdcs_n_stb),
  868.                 .zx_sd_start  (zx_sd_start  ),
  869.                 .zx_sd_datain (zx_sd_datain ),
  870.                 .zx_sd_dataout(zx_sd_dataout),
  871.  
  872.                 .avr_lock_in   (avr_lock_claim),
  873.                 .avr_lock_out  (avr_lock_grant),
  874.                 .avr_sdcs_n    (avr_sdcs_n    ),
  875.                 .avr_sd_start  (avr_sd_start  ),
  876.                 .avr_sd_datain (avr_sd_datain ),
  877.                 .avr_sd_dataout(avr_sd_dataout)
  878.  
  879.  
  880.         );
  881.  
  882.  
  883.  
  884.  
  885.  
  886.           //////////////////////////////////////
  887.          // sound: beeper, tapeout and covox //
  888.         //////////////////////////////////////
  889.  
  890.         sound sound(
  891.  
  892.                 .clk(fclk),
  893.  
  894.                 .din(d),
  895.  
  896.                 .beeper_wr(beeper_wr),
  897.                 .covox_wr (covox_wr ),
  898.  
  899.                 .beeper_mux(beeper_mux),
  900.  
  901.                 .sound_bit(beep)
  902.         );
  903.  
  904.  
  905. endmodule
  906.  
  907.