Subversion Repositories pentevo

Rev

Rev 783 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. #pragma once
  2.  
  3. #ifdef MOD_FASTCORE
  4.    namespace z80fast
  5.    {
  6.    #include "z80_main.h"
  7.    }
  8. #else
  9.    #define z80fast z80dbg
  10. #endif
  11.  
  12. #ifdef MOD_DEBUGCORE
  13.    namespace z80dbg
  14.    {
  15.    #include "z80_main.h"
  16.    }
  17. #else
  18.    #define z80dbg z80fast
  19. #endif
  20.  
  21. u8 __fastcall Xm(u32 addr);
  22. u8 __fastcall Rm(u32 addr);
  23. void __fastcall Wm(u32 addr, u8 val);
  24. u8 __fastcall DbgXm(u32 addr);
  25. u8 __fastcall DbgRm(u32 addr);
  26. void __fastcall DbgWm(u32 addr, u8 val);
  27.  
  28. void reset(ROM_MODE mode);
  29.