Subversion Repositories pentevo

Rev

Blame | Last modification | View Log | Download | RSS feed | ?url?

  1. `include "../include/tune.v"
  2.  
  3. module top(
  4.  
  5.         // clocks
  6.         input fclk,
  7.         output clkz_out,
  8.         input clkz_in,
  9.  
  10.         // z80
  11.         input iorq_n,
  12.         input mreq_n,
  13.         input rd_n,
  14.         input wr_n,
  15.         input m1_n,
  16.         input rfsh_n,
  17.         output int_n,
  18.         output nmi_n,
  19.         output wait_n,
  20.         output res,
  21.  
  22.         inout [7:0] d,
  23.         input [15:0] a,
  24.  
  25.         // zxbus and related
  26.         output csrom,
  27.         output romoe_n,
  28.         output romwe_n,
  29.  
  30.         output rompg0_n,
  31.         output dos_n, // aka rompg1
  32.         output rompg2,
  33.         output rompg3,
  34.         output rompg4,
  35.  
  36.         input iorqge1,
  37.         input iorqge2,
  38.         output iorq1_n,
  39.         output iorq2_n,
  40.  
  41.         // DRAM
  42.         inout [15:0] rd,
  43.         output [9:0] ra,
  44.         output rwe_n,
  45.         output rucas_n,
  46.         output rlcas_n,
  47.         output rras0_n,
  48.         output rras1_n,
  49.  
  50.         // video
  51.         output [1:0] vred,
  52.         output [1:0] vgrn,
  53.         output [1:0] vblu,
  54.  
  55.         output vhsync,
  56.         output vvsync,
  57.         output vcsync,
  58.  
  59.         // AY control and audio/tape
  60.         output ay_clk,
  61.         output ay_bdir,
  62.         output ay_bc1,
  63.  
  64.         output beep,
  65.  
  66.         // IDE
  67.         output [2:0] ide_a,
  68.         inout [15:0] ide_d,
  69.  
  70.         output ide_dir,
  71.  
  72.         input ide_rdy,
  73.  
  74.         output ide_cs0_n,
  75.         output ide_cs1_n,
  76.         output ide_rs_n,
  77.         output ide_rd_n,
  78.         output ide_wr_n,
  79.  
  80.         // VG93 and diskdrive
  81.         output vg_clk,
  82.  
  83.         output vg_cs_n,
  84.         output vg_res_n,
  85.  
  86.         output vg_hrdy,
  87.         output vg_rclk,
  88.         output vg_rawr,
  89.         output [1:0] vg_a, // disk drive selection
  90.         output vg_wrd,
  91.         output vg_side,
  92.  
  93.         input step,
  94.         input vg_sl,
  95.         input vg_sr,
  96.         input vg_tr43,
  97.         input rdat_b_n,
  98.         input vg_wf_de,
  99.         input vg_drq,
  100.         input vg_irq,
  101.         input vg_wd,
  102.  
  103.         // serial links (atmega-fpga, sdcard)
  104.         output sdcs_n,
  105.         output sddo,
  106.         output sdclk,
  107.         input sddi,
  108.  
  109.         input spics_n,
  110.         input spick,
  111.         input spido,
  112.         output spidi,
  113.         output spiint_n
  114. );
  115.  
  116.         wire dos;
  117.  
  118.  
  119.         wire zclk; // z80 clock for short
  120.  
  121.         wire rst_n; // global reset
  122.  
  123.         wire rrdy;
  124.         wire cbeg;
  125.         wire [15:0] rddata;
  126.  
  127.         wire [4:0] rompg;
  128.  
  129.         wire [7:0] zports_dout;
  130.         wire zports_dataout;
  131.         wire porthit;
  132.  
  133.  
  134.         wire [39:0] kbd_data;
  135.         wire [ 7:0] mus_data;
  136.         wire kbd_stb,mus_xstb,mus_ystb,mus_btnstb,kj_stb;
  137.  
  138.         wire [ 4:0] kbd_port_data;
  139.         wire [ 4:0] kj_port_data;
  140.         wire [ 7:0] mus_port_data;
  141.  
  142.  
  143.  
  144.  
  145.         wire [7:0] wait_read,wait_write;
  146.         wire wait_rnw;
  147.         wire wait_start_gluclock;
  148.         wire wait_end;
  149.         wire [7:0] gluclock_addr;
  150.         wire [6:0] waits;
  151.  
  152.  
  153.  
  154.  
  155.         // config signals
  156.         wire [7:0] not_used;
  157.         wire cfg_vga_on;
  158.         wire set_nmi;
  159.         wire turbo_key;
  160.         wire noturbo_key;
  161.  
  162.  
  163.  
  164.  
  165.         wire tape_in;
  166.  
  167.         wire [15:0] ideout;
  168.         wire [15:0] idein;
  169.         wire idedataout;
  170.  
  171.  
  172.         wire [7:0] zmem_dout;
  173.         wire zmem_dataout;
  174.  
  175.  
  176.  
  177.         reg [3:0] ayclk_gen;
  178.  
  179.  
  180.         wire [7:0] received;
  181.         wire [7:0] tobesent;
  182.  
  183.  
  184.         wire intrq,drq;
  185.         wire vg_wrFF;
  186.  
  187.         wire [1:0] rstrom;
  188.  
  189.  
  190.  
  191.  
  192.         assign zclk = clkz_in;
  193.  
  194.  
  195.         // RESETTER
  196.         wire genrst;
  197.  
  198.         resetter myrst( .clk(fclk),
  199.                         .rst_in_n(~genrst),
  200.                         .rst_out_n(rst_n) );
  201.         defparam myrst.RST_CNT_SIZE = 6;
  202.  
  203.  
  204.  
  205.         assign nmi_n = nmi_out ? 1'b0 : 1'bZ;
  206.  
  207.         assign res= ~rst_n;
  208.  
  209.  
  210.  
  211.  
  212.  
  213.         assign ide_rs_n = turbo;
  214.  
  215.         assign ide_d = idedataout ? ideout : 16'hZZZZ;
  216.         assign idein = ide_d;
  217.  
  218.         assign ide_dir = ~idedataout;
  219.  
  220.  
  221.  
  222.  
  223.  
  224.         wire [7:0] p1ffd;
  225.         wire [7:0] p7ffd;
  226.         wire [7:0] peff7;
  227.  
  228.         wire tape_read;
  229.  
  230.         wire [2:0] comport_addr;
  231.         wire wait_start_comport;                       
  232.  
  233.         wire cpu_req,cpu_rnw,cpu_wrbsel,cpu_strobe;
  234.         wire [20:0] cpu_addr;
  235.         wire [15:0] cpu_rddata;
  236.         wire [7:0] cpu_wrdata;
  237.  
  238.  
  239.         wire cend,pre_cend,go;
  240.  
  241.  
  242.         wire sd_start;
  243.         wire [7:0] sd_dataout,sd_datain;
  244.  
  245.  
  246.  
  247. //AY control
  248.         always @(posedge fclk)
  249.         begin
  250.                 ayclk_gen <= ayclk_gen + 4'd1;
  251.         end
  252.  
  253.         assign ay_clk = ayclk_gen[3];
  254.  
  255.  
  256.         assign rompg0_n = ~rompg[0];
  257.         assign dos_n    = ~rompg[1];
  258.         assign rompg2   =  prof1;
  259.         assign rompg3   =  prof2;
  260.         assign rompg4   =  1'b0;
  261.  
  262.         zclock z80clk( .fclk(fclk), .rst_n(rst_n), .zclk(zclk), .rfsh_n(rfsh_n), .zclk_out(clkz_out),
  263.                        .turbo( {1'b0,turbo} ), .pre_cend(pre_cend), .cbeg(cbeg) );
  264.  
  265.  
  266.  
  267.         wire [7:0] dout_ram;
  268.         wire ena_ram;
  269.         wire [7:0] dout_ports;
  270.         wire ena_ports;
  271.         wire prof1;
  272.         wire prof2;
  273.         wire [7:0] attr;
  274.         wire [7:0] portFF;
  275.        
  276.         assign portFF = (a[7:0]==8'hff)? ((hpix && vpix)? attr : 8'hFF) : 8'hff;       
  277.  
  278.         wire [2:0] border;
  279.  
  280.         wire drive_ff;
  281.  
  282.         // data bus out: either RAM data or internal ports data or 0xFF with unused ports
  283.         assign d = ena_ram ? dout_ram : ( ena_ports ? dout_ports : ( drive_ff ? portFF : 8'bZZZZZZZZ ) );
  284.  
  285.  
  286.  
  287.  
  288.         zbus zxbus( .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .m1_n(m1_n),
  289.                     .iorq1_n(iorq1_n), .iorq2_n(iorq2_n), .iorqge1(iorqge1), .iorqge2(iorqge2),
  290.                     .porthit(porthit), .drive_ff(drive_ff) );
  291.  
  292.  
  293.  
  294.         zmem z80mem( .fclk(fclk), .rst_n(rst_n), .zpos(1'b0), .zneg(1'b0),
  295.                      .cend(cend), .pre_cend(pre_cend), .za(a), .zd_in(d),
  296.                      .zd_out(dout_ram), .zd_ena(ena_ram), .m1_n(m1_n),
  297.                      .rfsh_n(rfsh_n), .iorq_n(iorq_n), .mreq_n(mreq_n),
  298.                      .rd_n(rd_n), .wr_n(wr_n),
  299.  
  300.         .win0_romnram(~p1ffd[0]),
  301.         .win1_romnram(1'b0),
  302.         .win2_romnram(1'b0),
  303.         .win3_romnram(1'b0),
  304.  
  305.         .win0_page( p1ffd[0] ? 8'd0 : ( p1ffd[1] ? 8'd02 : {6'h0,dos,p7ffd[4]} )),
  306.         .win1_page(8'd5),
  307.         .win2_page(8'd2),
  308.         .win3_page( {2'b00,p1ffd[7:6],p1ffd[4],p7ffd[2:0]} ),
  309.  
  310.         .dos(dos),
  311.  
  312.         .rompg(rompg),
  313.         .romoe_n(romoe_n),
  314.         .romwe_n(romwe_n),
  315.         .csrom(csrom),
  316.  
  317.         .cpu_req(cpu_req),
  318.         .cpu_rnw(cpu_rnw),
  319.         .cpu_wrbsel(cpu_wrbsel),
  320.         .cpu_strobe(cpu_strobe),
  321.         .cpu_addr(cpu_addr),
  322.         .cpu_wrdata(cpu_wrdata),
  323.         .cpu_rddata(cpu_rddata) );
  324.  
  325.  
  326.  
  327.  
  328.  
  329.  
  330.         wire [20:0] daddr;
  331.         wire dreq;
  332.         wire drnw;
  333.         wire [15:0] drddata;
  334.         wire [15:0] dwrdata;
  335.         wire [1:0] dbsel;
  336.  
  337.  
  338.  
  339.  
  340.         dram dramko( .clk(fclk),
  341.                      .rst_n(rst_n),
  342.  
  343.                      .addr(daddr),
  344.                      .req(dreq),
  345.                      .rnw(drnw),
  346.                      .cbeg(cbeg),
  347.                      .rrdy(drrdy),
  348.                      .rddata(drddata),
  349.                      .wrdata(dwrdata),
  350.                      .bsel(dbsel),
  351.  
  352.                      .ra(ra),
  353.                      .rd(rd),
  354.                      .rwe_n(rwe_n),
  355.                      .rucas_n(rucas_n),
  356.                      .rlcas_n(rlcas_n),
  357.                      .rras0_n(rras0_n),
  358.                      .rras1_n(rras1_n) );
  359.  
  360.  
  361.         wire [1:0] bw;
  362.  
  363.         wire [20:0] video_addr;
  364.         wire [15:0] video_data;
  365.         wire video_strobe;
  366.         wire video_next;
  367.  
  368.         arbiter dramarb( .clk(fclk),
  369.                          .rst_n(rst_n),
  370.  
  371.                          .dram_addr(daddr),
  372.                          .dram_req(dreq),
  373.                          .dram_rnw(drnw),
  374.                          .dram_cbeg(cbeg),
  375.                          .dram_rrdy(drrdy),
  376.                          .dram_bsel(dbsel),
  377.                          .dram_rddata(drddata),
  378.                          .dram_wrdata(dwrdata),
  379.  
  380.                          .cend(cend),
  381.                          .pre_cend(pre_cend),
  382.  
  383.                          .go(go),
  384.                          .bw(bw),
  385.  
  386.                          .video_addr(video_addr),
  387.                          .video_data(video_data),
  388.                          .video_strobe(video_strobe),
  389.                          .video_next(video_next),
  390.  
  391.                          //.cpu_waitcyc(cpu_waitcyc),
  392.                          //.cpu_stall(cpu_stall),
  393.                          .cpu_req(cpu_req),
  394.                          .cpu_rnw(cpu_rnw),
  395.                          .cpu_addr(cpu_addr),
  396.                          .cpu_wrbsel(cpu_wrbsel),
  397.                          .cpu_wrdata(cpu_wrdata),
  398.                          .cpu_rddata(cpu_rddata),
  399.                          .cpu_strobe(cpu_strobe) );
  400.  
  401.  
  402.         wire vga_hsync,hsync,hblank,hpix,hsync_start,line_start,hint_start,scanin_start,scanout_start;
  403.  
  404.         synch horiz_sync( .clk(fclk), .init(1'b0), .cend(cend), .pre_cend(pre_cend),
  405.                           .hsync(hsync), .hblank(hblank), .hpix(hpix), .hsync_start(hsync_start),
  406.                           .line_start(line_start), .hint_start(hint_start), .scanin_start(scanin_start) );
  407.  
  408.  
  409.         wire vblank,vsync,int_start,vpix;
  410.  
  411.         syncv vert_sync( .clk(fclk), .hsync_start(hsync_start), .line_start(line_start),
  412.                          .vblank(vblank), .vsync(vsync), .int_start(int_start),
  413.                          .vpix(vpix), .hint_start(hint_start) );
  414.  
  415.         vga_synch vga_synch( .clk(fclk), .hsync_start(hsync_start), .vga_hsync(vga_hsync), .scanout_start(scanout_start) );
  416.  
  417.  
  418.  
  419.         wire [5:0] pixel;
  420.  
  421.         fetch fecher( .clk(fclk), .cend(cend), .line_start(line_start), .vpix(vpix), .int_start(int_start),
  422.                       .vmode( {peff7[0],peff7[5]} ), .screen(p7ffd[3]), .video_addr(video_addr), .video_data(video_data),
  423.                       .video_strobe(video_strobe), .video_next(video_next), .go(go), .bw(bw), .attr(attr), .pixel(pixel) );
  424.  
  425.  
  426.  
  427.  
  428.         videoout vidia( .clk(fclk), .pixel(pixel), .border({ border[1],1'b0,border[2],1'b0,border[0],1'b0 }),
  429.                         .hblank(hblank), .vblank(vblank), .hpix(hpix), .vpix(vpix), .hsync(hsync), .vsync(vsync),
  430.                         .vred(vred), .vgrn(vgrn), .vga_hsync(vga_hsync), .vblu(vblu),
  431.                         .vhsync(vhsync), .vvsync(vvsync), .vcsync(vcsync), .hsync_start(hsync_start),
  432.                         .scanin_start(scanin_start), .scanout_start(scanout_start), .cfg_vga_on(cfg_vga_on) );
  433.  
  434.  
  435.  
  436.  
  437.  
  438.  
  439.  
  440.         slavespi slavespi( .fclk(fclk), .rst_n(rst_n),
  441.                            .spics_n(spics_n), .spidi(spidi),
  442.                            .spido(spido), .spick(spick),
  443.                            .status_in({wait_rnw, waits[6:0]}), .genrst(genrst),
  444.                            .rstrom(rstrom), .kbd_out(kbd_data),
  445.                            .kbd_stb(kbd_stb), .mus_out(mus_data),
  446.                            .mus_xstb(mus_xstb), .mus_ystb(mus_ystb),
  447.                            .mus_btnstb(mus_btnstb), .kj_stb(kj_stb),
  448.                            .gluclock_addr(gluclock_addr),
  449.                                            .comport_addr (comport_addr),
  450.                            .wait_write(wait_write),
  451.                            .wait_read(wait_read),
  452.                            .wait_rnw(wait_rnw),
  453.                            .wait_end(wait_end),
  454.                            .config0( { not_used[7:5], noturbo_key, turbo_key, tape_read, set_nmi, cfg_vga_on} )
  455.                          );
  456.  
  457.         zkbdmus zkbdmus( .fclk(fclk), .rst_n(rst_n),
  458.                          .kbd_in(kbd_data), .kbd_stb(kbd_stb),
  459.                          .mus_in(mus_data), .mus_xstb(mus_xstb),
  460.                          .mus_ystb(mus_ystb), .mus_btnstb(mus_btnstb),
  461.                          .kj_stb(kj_stb), .kj_data(kj_port_data),
  462.                          .zah(a[15:8]), .kbd_data(kbd_port_data),
  463.                          .mus_data(mus_port_data)
  464.                        );
  465.  
  466.  
  467.         zports porty( .clk(zclk), .fclk(fclk), .rst_n(rst_n), .din(d), .dout(dout_ports), .dataout(ena_ports),
  468.                       .a(a), .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .porthit(porthit),
  469.                       .ay_bdir(ay_bdir), .ay_bc1(ay_bc1), .border(border), .beep(beep),
  470.                       .p7ffd(p7ffd), .p1ffd(p1ffd), .peff7(peff7), .mreq_n(mreq_n), .m1_n(m1_n), .dos(dos),
  471.                       .rstrom(rstrom), .vg_intrq(intrq), .vg_drq(drq), .vg_wrFF(vg_wrFF),
  472.                       .vg_cs_n(vg_cs_n), .sd_start(sd_start), .sd_dataout(sd_dataout),
  473.                       .sd_datain(sd_datain), .sdcs_n(sdcs_n),
  474.                       .idein(idein), .ideout(ideout), .idedataout(idedataout),
  475.                       .ide_a(ide_a), .ide_cs0_n(ide_cs0_n), .ide_cs1_n(ide_cs1_n),
  476.                       .ide_wr_n(ide_wr_n), .ide_rd_n(ide_rd_n),
  477.  
  478.                       .keys_in(kbd_port_data),
  479.                       .mus_in(mus_port_data),
  480.                       .kj_in(kj_port_data),
  481.                       .tape_read(tape_read),
  482.                                   .turbo_key(turbo_key),
  483.                                   .noturbo_key(noturbo_key),
  484.  
  485.                       .gluclock_addr(gluclock_addr),
  486.                                   .comport_addr (comport_addr),
  487.                       .wait_start_gluclock(wait_start_gluclock),
  488.                       .wait_start_comport (wait_start_comport),
  489.  
  490.                       .wait_rnw(wait_rnw),
  491.                       .wait_write(wait_write),
  492.                       .wait_read(wait_read),
  493.                                   .nmi_key(set_nmi),
  494.                                   .nmi_out(nmi_out),
  495.                                   .turbo(turbo)
  496.                     );
  497.  
  498.  
  499.         zint preryv( .fclk(fclk), .zclk(zclk), .int_start(int_start), .iorq_n(iorq_n), .m1_n(m1_n),
  500.                      .int_n(int_n) );
  501.  
  502.  
  503.  
  504.         zwait zwait( .wait_start_gluclock(wait_start_gluclock),
  505.                      .wait_end(wait_end),
  506.                      .rst_n(rst_n),
  507.                                  .wait_start_comport (wait_start_comport),
  508.                      .wait_n(wait_n),
  509.                      .waits(waits),
  510.                      .spiint_n(spiint_n) );
  511.  
  512.         assign wait_n = 1'bZ;
  513.  
  514.  
  515.  
  516.         wire [1:0] vg_ddrv;
  517.         assign vg_a[0] = vg_ddrv[0] ? 1'b1 : 1'b0; // possibly open drain?
  518.         assign vg_a[1] = vg_ddrv[1] ? 1'b1 : 1'b0;
  519.  
  520.         vg93 vgshka( .zclk(zclk), .rst_n(rst_n), .fclk(fclk), .vg_clk(vg_clk),
  521.                      .vg_res_n(vg_res_n), .din(d), .intrq(intrq), .drq(drq), .vg_wrFF(vg_wrFF),
  522.                      .vg_hrdy(vg_hrdy), .vg_rclk(vg_rclk), .vg_rawr(vg_rawr), .vg_a(vg_ddrv),
  523.                      .vg_wrd(vg_wrd), .vg_side(vg_side), .step(step), .vg_sl(vg_sl), .vg_sr(vg_sr),
  524.                      .vg_tr43(vg_tr43), .rdat_n(rdat_b_n), .vg_wf_de(vg_wf_de), .vg_drq(vg_drq),
  525.                      .vg_irq(vg_irq), .vg_wd(vg_wd) );
  526.  
  527.  
  528.  
  529.  
  530.         spi2 zspi( .clock(fclk), .sck(sdclk), .sdo(sddo), .sdi(sddi), .start(sd_start),
  531.                    .speed(2'b00), .din(sd_datain), .dout(sd_dataout) );
  532.        
  533.     pfpzu profrom(.oe_n(!(csrom) | romoe_n),   
  534.                                   .a({rompg[1],rompg[0],a[13:2]}),      
  535.                                   .a16(prof1),
  536.                                   .a17(prof2),
  537.                                   .res_n(rst_n));
  538.  
  539. endmodule
  540.  
  541.