Top secrets sources NedoPC pentevo

Rev

Blame | Last modification | View Log | Download | RSS feed | ?url?

Classic Timing Analyzer report for top
Tue Jan 24 15:58:55 2012
Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Timing Analyzer Summary
  3. Timing Analyzer Settings
  4. Clock Settings Summary
  5. Parallel Compilation
  6. Clock Setup: 'fclk'
  7. Clock Setup: 'clkz_in'
  8. Clock Setup: 'mreq_n'
  9. Clock Hold: 'fclk'
 10. Clock Hold: 'clkz_in'
 11. Clock Hold: 'mreq_n'
 12. tsu
 13. tco
 14. tpd
 15. th
 16. Ignored Timing Assignments
 17. Timing Analyzer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2009 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Timing Analyzer Summary                                                                                                                                                                                                                                                                                                                       ;
+------------------------------+-----------+----------------------------------+------------------------------------------------+------------------------------------------------------------------------------------+------------------------------------------------------------------------------------+------------+----------+--------------+
; Type                         ; Slack     ; Required Time                    ; Actual Time                                    ; From                                                                               ; To                                                                                 ; From Clock ; To Clock ; Failed Paths ;
+------------------------------+-----------+----------------------------------+------------------------------------------------+------------------------------------------------------------------------------------+------------------------------------------------------------------------------------+------------+----------+--------------+
; Worst-case tsu               ; N/A       ; None                             ; 28.400 ns                                      ; a[3]                                                                               ; zports:porty|p7ffd_int[1]                                                          ; --         ; clkz_in  ; 0            ;
; Worst-case tco               ; N/A       ; None                             ; 33.600 ns                                      ; zports:porty|peff7_int[7]                                                          ; d[0]                                                                               ; clkz_in    ; --       ; 0            ;
; Worst-case tpd               ; N/A       ; None                             ; 48.400 ns                                      ; a[1]                                                                               ; d[0]                                                                               ; --         ; --       ; 0            ;
; Worst-case th                ; N/A       ; None                             ; 6.000 ns                                       ; a[14]                                                                              ; zports:porty|nmi_out                                                               ; --         ; m1_n     ; 0            ;
; Clock Setup: 'fclk'          ; -8.900 ns ; 28.00 MHz ( period = 35.714 ns ) ; N/A                                            ; zports:porty|peff7_int[0]                                                          ; videoout:vidia|vgrn[1]                                                             ; clkz_in    ; fclk     ; 129          ;
; Clock Setup: 'clkz_in'       ; 17.814 ns ; 14.00 MHz ( period = 71.428 ns ) ; N/A                                            ; resetter:myrst|rst_out_n                                                           ; vg93:vgshka|vg_a[1]                                                                ; fclk       ; clkz_in  ; 0            ;
; Clock Setup: 'mreq_n'        ; 18.233 ns ; 24.00 MHz ( period = 41.666 ns ) ; Restricted to 166.67 MHz ( period = 6.000 ns ) ; pfpzu:profrom|plane[1]                                                             ; pfpzu:profrom|newplane[0]                                                          ; mreq_n     ; mreq_n   ; 0            ;
; Clock Hold: 'fclk'           ; 0.800 ns  ; 28.00 MHz ( period = 35.714 ns ) ; N/A                                            ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0] ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0] ; fclk       ; fclk     ; 0            ;
; Clock Hold: 'clkz_in'        ; 0.800 ns  ; 14.00 MHz ( period = 71.428 ns ) ; N/A                                            ; zint:preryv|intctr[0]                                                              ; zint:preryv|intctr[0]                                                              ; clkz_in    ; clkz_in  ; 0            ;
; Clock Hold: 'mreq_n'         ; 21.833 ns ; 24.00 MHz ( period = 41.666 ns ) ; N/A                                            ; pfpzu:profrom|plane[1]                                                             ; pfpzu:profrom|newplane[1]                                                          ; mreq_n     ; mreq_n   ; 0            ;
; Total number of failed paths ;           ;                                  ;                                                ;                                                                                    ;                                                                                    ;            ;          ; 129          ;
+------------------------------+-----------+----------------------------------+------------------------------------------------+------------------------------------------------------------------------------------+------------------------------------------------------------------------------------+------------+----------+--------------+


+-------------------------------------------------------------------------------------------------------------------------+
; Timing Analyzer Settings                                                                                                ;
+---------------------------------------------------------------------+--------------------+------+---------+-------------+
; Option                                                              ; Setting            ; From ; To      ; Entity Name ;
+---------------------------------------------------------------------+--------------------+------+---------+-------------+
; Device Name                                                         ; EP1K50QC208-3      ;      ;         ;             ;
; Timing Models                                                       ; Final              ;      ;         ;             ;
; Default hold multicycle                                             ; Same as Multicycle ;      ;         ;             ;
; Cut paths between unrelated clock domains                           ; On                 ;      ;         ;             ;
; Cut off read during write signal paths                              ; On                 ;      ;         ;             ;
; Cut off feedback from I/O pins                                      ; On                 ;      ;         ;             ;
; Report Combined Fast/Slow Timing                                    ; Off                ;      ;         ;             ;
; fmax Requirement                                                    ; 24 MHz             ;      ;         ;             ;
; Ignore Clock Settings                                               ; Off                ;      ;         ;             ;
; Analyze latches as synchronous elements                             ; On                 ;      ;         ;             ;
; Enable Recovery/Removal analysis                                    ; Off                ;      ;         ;             ;
; Enable Clock Latency                                                ; Off                ;      ;         ;             ;
; Use TimeQuest Timing Analyzer                                       ; Off                ;      ;         ;             ;
; Number of source nodes to report per destination node               ; 10                 ;      ;         ;             ;
; Number of destination nodes to report                               ; 10                 ;      ;         ;             ;
; Number of paths to report                                           ; 200                ;      ;         ;             ;
; Report Minimum Timing Checks                                        ; Off                ;      ;         ;             ;
; Use Fast Timing Models                                              ; Off                ;      ;         ;             ;
; Report IO Paths Separately                                          ; Off                ;      ;         ;             ;
; Perform Multicorner Analysis                                        ; Off                ;      ;         ;             ;
; Reports the worst-case path for each clock domain and analysis      ; Off                ;      ;         ;             ;
; Removes common clock path pessimism (CCPP) during slack computation ; Off                ;      ;         ;             ;
; Output I/O Timing Endpoint                                          ; Near End           ;      ;         ;             ;
; Clock Settings                                                      ; Z80 clock          ;      ; clkz_in ;             ;
; Clock Settings                                                      ; FPGA clock         ;      ; fclk    ;             ;
; Clock Settings                                                      ; SPI clock          ;      ; spick   ;             ;
+---------------------------------------------------------------------+--------------------+------+---------+-------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Settings Summary                                                                                                                                                                  ;
+-----------------+--------------------+----------+------------------+---------------+--------------+------------+-----------------------+---------------------+-----------+--------------+
; Clock Node Name ; Clock Setting Name ; Type     ; Fmax Requirement ; Early Latency ; Late Latency ; Based on   ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset    ; Phase offset ;
+-----------------+--------------------+----------+------------------+---------------+--------------+------------+-----------------------+---------------------+-----------+--------------+
; fclk            ; FPGA clock         ; User Pin ; 28.0 MHz         ; 0.000 ns      ; 0.000 ns     ; --         ; N/A                   ; N/A                 ; N/A       ;              ;
; clkz_in         ; Z80 clock          ; User Pin ; 14.0 MHz         ; 0.000 ns      ; 0.000 ns     ; FPGA clock ; 1                     ; 2                   ; -8.000 ns ;              ;
; spick           ; SPI clock          ; User Pin ; 5.53 MHz         ; 0.000 ns      ; 0.000 ns     ; --         ; N/A                   ; N/A                 ; N/A       ;              ;
; mreq_n          ;                    ; User Pin ; 24.0 MHz         ; 0.000 ns      ; 0.000 ns     ; --         ; N/A                   ; N/A                 ; N/A       ;              ;
; m1_n            ;                    ; User Pin ; 24.0 MHz         ; 0.000 ns      ; 0.000 ns     ; --         ; N/A                   ; N/A                 ; N/A       ;              ;
+-----------------+--------------------+----------+------------------+---------------+--------------+------------+-----------------------+---------------------+-----------+--------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 2      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Setup: 'fclk'                                                                                                                                                                                                                                                                                                                                                                                 ;
+-----------------------------------------+-----------------------------------------------------+-----------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; Slack                                   ; Actual fmax (period)                                ; From                                                                                    ; To                                                                                            ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
+-----------------------------------------+-----------------------------------------------------+-----------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; -8.900 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vgrn[1]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 14.400 ns               ;
; -8.800 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|rwe_n                                                                             ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 14.300 ns               ;
; -8.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vblu[1]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 14.000 ns               ;
; -8.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vred[1]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 13.800 ns               ;
; -8.000 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; arbiter:dramarb|vid_rem[0]                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 14.600 ns               ;
; -7.600 ns                               ; None                                                ; zwait:zwait|waits[0]                                                                    ; slavespi:slavespi|shift_out[0]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; -4.800 ns                 ; 2.800 ns                ;
; -7.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vgrn[0]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 12.800 ns               ;
; -7.200 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; arbiter:dramarb|vid_rem[1]                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 13.800 ns               ;
; -6.900 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vred[0]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 12.400 ns               ;
; -6.800 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vblu[0]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 12.300 ns               ;
; -6.800 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][0]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 12.800 ns               ;
; -6.800 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][3]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 12.800 ns               ;
; -6.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][2]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 12.600 ns               ;
; -6.000 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][1]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 12.000 ns               ;
; -5.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][5]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 11.600 ns               ;
; -5.500 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; arbiter:dramarb|vid_rem[2]                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 12.100 ns               ;
; -5.400 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 12.000 ns               ;
; -4.800 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; arbiter:dramarb|cpu_strobe                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 11.400 ns               ;
; -4.800 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][4]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 10.800 ns               ;
; -4.600 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[15]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 11.200 ns               ;
; -4.400 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[18]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 11.000 ns               ;
; -4.400 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[17]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 11.000 ns               ;
; -4.300 ns                               ; None                                                ; zwait:zwait|waits[1]                                                                    ; slavespi:slavespi|shift_out[1]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; -0.600 ns                 ; 3.700 ns                ;
; -4.300 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[16]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.900 ns               ;
; -4.000 ns                               ; None                                                ; zports:porty|turbo                                                                      ; zclock:z80clk|int_turbo[0]                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; -0.700 ns                 ; 3.300 ns                ;
; -3.700 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[3]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.300 ns               ;
; -3.700 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.300 ns               ;
; -3.700 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[2]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.300 ns               ;
; -3.700 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.300 ns               ;
; -3.700 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[1]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.300 ns               ;
; -3.600 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.200 ns               ;
; -3.600 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.200 ns               ;
; -3.600 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.200 ns               ;
; -3.500 ns                               ; None                                                ; zports:porty|border[1]                                                                  ; videoout:vidia|vred[1]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 9.000 ns                ;
; -3.500 ns                               ; None                                                ; zports:porty|p1ffd_int[1]                                                               ; dram:dramko|int_addr[13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.100 ns               ;
; -3.500 ns                               ; None                                                ; zports:porty|p7ffd_int[4]                                                               ; dram:dramko|int_addr[13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 10.100 ns               ;
; -3.400 ns                               ; None                                                ; zports:porty|border[2]                                                                  ; videoout:vidia|vgrn[1]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 8.900 ns                ;
; -3.000 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[0]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.600 ns                ;
; -2.900 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[6]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.500 ns                ;
; -2.900 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[5]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.500 ns                ;
; -2.900 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.500 ns                ;
; -2.900 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[4]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.500 ns                ;
; -2.700 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][5]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.300 ns                ;
; -2.700 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.300 ns                ;
; -2.700 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|int_addr[8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.300 ns                ;
; -2.600 ns                               ; None                                                ; zports:porty|border[0]                                                                  ; videoout:vidia|vblu[1]                                                                        ; clkz_in    ; fclk     ; 8.000 ns                    ; 5.500 ns                  ; 8.100 ns                ;
; -2.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][4]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.200 ns                ;
; -2.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.200 ns                ;
; -2.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.200 ns                ;
; -2.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][4]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.200 ns                ;
; -2.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.200 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][5]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][4]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][1]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 9.100 ns                ;
; -2.200 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|state.RFSH1                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.800 ns                ;
; -2.200 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|state.WR1                                                                         ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.800 ns                ;
; -2.200 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; dram:dramko|state.RD1                                                                         ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.800 ns                ;
; -1.900 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][0]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.500 ns                ;
; -1.900 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][5]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.500 ns                ;
; -1.900 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][2]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.500 ns                ;
; -1.900 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][2]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.500 ns                ;
; -1.800 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][0]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.400 ns                ;
; -1.800 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][2]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.400 ns                ;
; -1.700 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][5]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.300 ns                ;
; -1.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.200 ns                ;
; -1.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.200 ns                ;
; -1.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.200 ns                ;
; -1.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.200 ns                ;
; -1.600 ns                               ; None                                                ; zports:porty|peff7_int[5]                                                               ; dram:dramko|int_addr[8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.200 ns                ;
; -1.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.100 ns                ;
; -1.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.100 ns                ;
; -1.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.100 ns                ;
; -1.500 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.100 ns                ;
; -1.400 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][3]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.000 ns                ;
; -1.400 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.000 ns                ;
; -1.400 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][3]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.000 ns                ;
; -1.400 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][3]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.000 ns                ;
; -1.400 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][2]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 8.000 ns                ;
; -1.300 ns                               ; None                                                ; zports:porty|comport_addr[1]                                                            ; slavespi:slavespi|shift_out[1]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.900 ns                ;
; -1.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.900 ns                ;
; -1.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.900 ns                ;
; -1.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][3]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.900 ns                ;
; -1.300 ns                               ; None                                                ; zports:porty|border[2]                                                                  ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][3]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 7.300 ns                ;
; -1.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.900 ns                ;
; -1.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][8]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.800 ns                ;
; -1.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][0]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.800 ns                ;
; -1.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.800 ns                ;
; -1.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.800 ns                ;
; -1.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][0]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.800 ns                ;
; -1.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.800 ns                ;
; -1.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][1]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.700 ns                ;
; -1.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][1]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.700 ns                ;
; -1.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][1]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.700 ns                ;
; -0.900 ns                               ; None                                                ; zports:porty|p1ffd_int[1]                                                               ; dram:dramko|int_addr[14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.500 ns                ;
; -0.800 ns                               ; None                                                ; zports:porty|border[1]                                                                  ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][5]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 6.800 ns                ;
; -0.700 ns                               ; None                                                ; zports:porty|peff7_int[5]                                                               ; dram:dramko|int_addr[7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.300 ns                ;
; -0.600 ns                               ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; arbiter:dramarb|curr_cycle[1]                                                                 ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.200 ns                ;
; -0.600 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.200 ns                ;
; -0.600 ns                               ; None                                                ; zports:porty|dos                                                                        ; dram:dramko|int_addr[14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.200 ns                ;
; -0.400 ns                               ; None                                                ; zports:porty|gluclock_addr[7]                                                           ; slavespi:slavespi|shift_out[7]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.000 ns                ;
; -0.400 ns                               ; None                                                ; zports:porty|gluclock_addr[1]                                                           ; slavespi:slavespi|shift_out[1]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.000 ns                ;
; -0.400 ns                               ; None                                                ; zports:porty|comport_addr[0]                                                            ; slavespi:slavespi|shift_out[0]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 7.000 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][15]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][15]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][4]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][15]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][15]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|gluclock_addr[0]                                                           ; slavespi:slavespi|shift_out[0]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[5]                                                               ; dram:dramko|int_addr[10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.300 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[10]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.900 ns                ;
; -0.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][6]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.800 ns                ;
; -0.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][6]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.800 ns                ;
; -0.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.800 ns                ;
; -0.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.800 ns                ;
; -0.200 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][6]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.800 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|border[0]                                                                  ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][1]~reg_in ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.000 ns                  ; 6.100 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[3][7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[2][6]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[1][7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|fbuf[0][7]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; -0.100 ns                               ; None                                                ; zports:porty|p7ffd_int[0]                                                               ; dram:dramko|int_addr[13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.700 ns                ;
; 0.200 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[3]            ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.400 ns                ;
; 0.200 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[2]            ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.400 ns                ;
; 0.200 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[1]            ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.400 ns                ;
; 0.200 ns                                ; None                                                ; zports:porty|peff7_int[5]                                                               ; dram:dramko|int_addr[9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.400 ns                ;
; 0.300 ns                                ; None                                                ; zports:porty|comport_addr[2]                                                            ; slavespi:slavespi|shift_out[2]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.300 ns                ;
; 0.300 ns                                ; None                                                ; zports:porty|gluclock_addr[2]                                                           ; slavespi:slavespi|shift_out[2]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.300 ns                ;
; 0.300 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[9]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.300 ns                ;
; 0.300 ns                                ; None                                                ; zports:porty|peff7_int[5]                                                               ; dram:dramko|int_addr[11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.300 ns                ;
; 0.300 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[11]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.300 ns                ;
; 0.400 ns                                ; None                                                ; zports:porty|peff7_int[5]                                                               ; dram:dramko|int_addr[12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.200 ns                ;
; 0.400 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[12]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.200 ns                ;
; 0.500 ns                                ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; arbiter:dramarb|curr_cycle[0]                                                                 ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 6.100 ns                ;
; 0.800 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; arbiter:dramarb|vid_rem[0]                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.800 ns                ;
; 0.900 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; arbiter:dramarb|vid_rem[1]                                                                    ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.700 ns                ;
; 1.000 ns                                ; None                                                ; zports:porty|gluclock_addr[5]                                                           ; slavespi:slavespi|shift_out[5]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.600 ns                ;
; 1.000 ns                                ; None                                                ; zports:porty|gluclock_addr[4]                                                           ; slavespi:slavespi|shift_out[4]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.600 ns                ;
; 1.000 ns                                ; None                                                ; zports:porty|gluclock_addr[3]                                                           ; slavespi:slavespi|shift_out[3]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.600 ns                ;
; 1.100 ns                                ; None                                                ; zports:porty|gluclock_addr[6]                                                           ; slavespi:slavespi|shift_out[6]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.500 ns                ;
; 1.300 ns                                ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; zmem:z80mem|ramwr_reg                                                                         ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.300 ns                ;
; 1.400 ns                                ; None                                                ; zports:porty|wait_write[7]                                                              ; slavespi:slavespi|shift_out[7]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.200 ns                ;
; 1.400 ns                                ; None                                                ; zports:porty|wait_write[1]                                                              ; slavespi:slavespi|shift_out[1]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.200 ns                ;
; 1.400 ns                                ; None                                                ; zports:porty|wait_write[0]                                                              ; slavespi:slavespi|shift_out[0]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.200 ns                ;
; 1.600 ns                                ; None                                                ; zports:porty|peff7_int[0]                                                               ; dram:dramko|int_addr[13]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 5.000 ns                ;
; 2.000 ns                                ; None                                                ; zports:porty|p7ffd_int[2]                                                               ; dram:dramko|int_addr[15]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 4.600 ns                ;
; 2.100 ns                                ; None                                                ; zports:porty|wait_write[2]                                                              ; slavespi:slavespi|shift_out[2]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 4.500 ns                ;
; 2.300 ns                                ; None                                                ; zports:porty|p7ffd_int[1]                                                               ; dram:dramko|int_addr[14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 4.300 ns                ;
; 2.300 ns                                ; None                                                ; zports:porty|p1ffd_int[0]                                                               ; zmem:z80mem|ramrd_reg                                                                         ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 4.300 ns                ;
; 2.800 ns                                ; None                                                ; zports:porty|wait_write[5]                                                              ; slavespi:slavespi|shift_out[5]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 3.800 ns                ;
; 2.800 ns                                ; None                                                ; zports:porty|wait_write[4]                                                              ; slavespi:slavespi|shift_out[4]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 3.800 ns                ;
; 2.800 ns                                ; None                                                ; zports:porty|wait_write[3]                                                              ; slavespi:slavespi|shift_out[3]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 3.800 ns                ;
; 2.900 ns                                ; None                                                ; zports:porty|wait_write[6]                                                              ; slavespi:slavespi|shift_out[6]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 3.700 ns                ;
; 3.700 ns                                ; None                                                ; zports:porty|p7ffd_int[3]                                                               ; dram:dramko|int_addr[14]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 2.900 ns                ;
; 3.800 ns                                ; None                                                ; zports:porty|wait_rnw                                                                   ; slavespi:slavespi|shift_out[7]                                                                ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 2.800 ns                ;
; 3.800 ns                                ; None                                                ; zports:porty|p1ffd_int[4]                                                               ; dram:dramko|int_addr[16]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 2.800 ns                ;
; 3.900 ns                                ; None                                                ; zports:porty|p1ffd_int[7]                                                               ; dram:dramko|int_addr[18]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 2.700 ns                ;
; 3.900 ns                                ; None                                                ; zports:porty|p1ffd_int[6]                                                               ; dram:dramko|int_addr[17]                                                                      ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 2.700 ns                ;
; 5.500 ns                                ; None                                                ; zports:porty|sd_start_toggle                                                            ; zports:porty|sd_stgl[0]                                                                       ; clkz_in    ; fclk     ; 8.000 ns                    ; 6.600 ns                  ; 1.100 ns                ;
; 6.014 ns                                ; 33.67 MHz ( period = 29.700 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 27.200 ns               ;
; 6.914 ns                                ; 34.72 MHz ( period = 28.800 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 26.300 ns               ;
; 7.214 ns                                ; 35.09 MHz ( period = 28.500 ns )                    ; fetch:fecher|shift[0][7]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 26.000 ns               ;
; 7.314 ns                                ; 35.21 MHz ( period = 28.400 ns )                    ; fetch:fecher|shift[1][7]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.900 ns               ;
; 7.614 ns                                ; 35.59 MHz ( period = 28.100 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vgrn[0]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.600 ns               ;
; 7.714 ns                                ; 35.71 MHz ( period = 28.000 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vblu[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.500 ns               ;
; 8.014 ns                                ; 36.10 MHz ( period = 27.700 ns )                    ; fetch:fecher|shift[1][4]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.200 ns               ;
; 8.114 ns                                ; 36.23 MHz ( period = 27.600 ns )                    ; fetch:fecher|shift[0][7]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.100 ns               ;
; 8.114 ns                                ; 36.23 MHz ( period = 27.600 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][3]~reg_in ; fclk       ; fclk     ; 35.714 ns                   ; 33.714 ns                 ; 25.600 ns               ;
; 8.214 ns                                ; 36.36 MHz ( period = 27.500 ns )                    ; fetch:fecher|shift[0][5]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.000 ns               ;
; 8.214 ns                                ; 36.36 MHz ( period = 27.500 ns )                    ; fetch:fecher|shift[1][3]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.000 ns               ;
; 8.214 ns                                ; 36.36 MHz ( period = 27.500 ns )                    ; fetch:fecher|shift[1][7]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 25.000 ns               ;
; 8.314 ns                                ; 36.50 MHz ( period = 27.400 ns )                    ; fetch:fecher|shift[1][5]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.900 ns               ;
; 8.314 ns                                ; 36.50 MHz ( period = 27.400 ns )                    ; fetch:fecher|shift[0][3]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.900 ns               ;
; 8.314 ns                                ; 36.50 MHz ( period = 27.400 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vred[0]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.900 ns               ;
; 8.314 ns                                ; 36.50 MHz ( period = 27.400 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; videoout:vidia|vga_double:vga_double|mem1536:line_buf|altdpram:mem_rtl_9|segment[0][2]~reg_in ; fclk       ; fclk     ; 35.714 ns                   ; 33.714 ns                 ; 25.400 ns               ;
; 8.414 ns                                ; 36.63 MHz ( period = 27.300 ns )                    ; fetch:fecher|shift[0][1]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.800 ns               ;
; 8.514 ns                                ; 36.76 MHz ( period = 27.200 ns )                    ; fetch:fecher|shift[1][1]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.700 ns               ;
; 8.814 ns                                ; 37.17 MHz ( period = 26.900 ns )                    ; fetch:fecher|shift[0][7]                                                                ; videoout:vidia|vgrn[0]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.400 ns               ;
; 8.914 ns                                ; 37.31 MHz ( period = 26.800 ns )                    ; fetch:fecher|shift[0][7]                                                                ; videoout:vidia|vblu[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.300 ns               ;
; 8.914 ns                                ; 37.31 MHz ( period = 26.800 ns )                    ; fetch:fecher|shift[1][7]                                                                ; videoout:vidia|vgrn[0]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.300 ns               ;
; 8.914 ns                                ; 37.31 MHz ( period = 26.800 ns )                    ; fetch:fecher|shift[1][4]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.300 ns               ;
; 9.014 ns                                ; 37.45 MHz ( period = 26.700 ns )                    ; fetch:fecher|shift[1][7]                                                                ; videoout:vidia|vblu[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.200 ns               ;
; 9.014 ns                                ; 37.45 MHz ( period = 26.700 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[1] ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.200 ns               ;
; 9.114 ns                                ; 37.59 MHz ( period = 26.600 ns )                    ; fetch:fecher|shift[0][6]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.100 ns               ;
; 9.114 ns                                ; 37.59 MHz ( period = 26.600 ns )                    ; fetch:fecher|shift[0][0]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.100 ns               ;
; 9.114 ns                                ; 37.59 MHz ( period = 26.600 ns )                    ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[0] ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.100 ns               ;
; 9.114 ns                                ; 37.59 MHz ( period = 26.600 ns )                    ; fetch:fecher|shift[0][2]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.100 ns               ;
; 9.114 ns                                ; 37.59 MHz ( period = 26.600 ns )                    ; fetch:fecher|shift[0][5]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.100 ns               ;
; 9.114 ns                                ; 37.59 MHz ( period = 26.600 ns )                    ; fetch:fecher|shift[1][3]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.100 ns               ;
; 9.214 ns                                ; 37.74 MHz ( period = 26.500 ns )                    ; fetch:fecher|shift[0][4]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.000 ns               ;
; 9.214 ns                                ; 37.74 MHz ( period = 26.500 ns )                    ; fetch:fecher|shift[1][6]                                                                ; videoout:vidia|vgrn[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.000 ns               ;
; 9.214 ns                                ; 37.74 MHz ( period = 26.500 ns )                    ; fetch:fecher|shift[1][5]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.000 ns               ;
; 9.214 ns                                ; 37.74 MHz ( period = 26.500 ns )                    ; fetch:fecher|shift[0][3]                                                                ; videoout:vidia|vred[1]                                                                        ; fclk       ; fclk     ; 35.714 ns                   ; 33.214 ns                 ; 24.000 ns               ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                                                         ;                                                                                               ;            ;          ;                             ;                           ;                         ;
+-----------------------------------------+-----------------------------------------------------+-----------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Setup: 'clkz_in'                                                                                                                                                                                                              ;
+-----------+----------------------------------------------+------------------------------+-------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; Slack     ; Actual fmax (period)                         ; From                         ; To                            ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
+-----------+----------------------------------------------+------------------------------+-------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; 17.814 ns ; None                                         ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_a[1]           ; fclk       ; clkz_in  ; 27.714 ns                   ; 26.314 ns                 ; 8.500 ns                ;
; 17.914 ns ; None                                         ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_side           ; fclk       ; clkz_in  ; 27.714 ns                   ; 26.314 ns                 ; 8.400 ns                ;
; 17.914 ns ; None                                         ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_a[0]           ; fclk       ; clkz_in  ; 27.714 ns                   ; 26.314 ns                 ; 8.400 ns                ;
; 18.314 ns ; None                                         ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_hrdy           ; fclk       ; clkz_in  ; 27.714 ns                   ; 26.314 ns                 ; 8.000 ns                ;
; 23.114 ns ; None                                         ; resetter:myrst|rst_out_n     ; zports:porty|rstsync1         ; fclk       ; clkz_in  ; 27.714 ns                   ; 26.314 ns                 ; 3.200 ns                ;
; 25.214 ns ; None                                         ; zint:preryv|ibeg             ; zint:preryv|ibg1              ; fclk       ; clkz_in  ; 27.714 ns                   ; 26.314 ns                 ; 1.100 ns                ;
; 30.914 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|p1ffd_int[1]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.800 ns                ;
; 30.914 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|p7ffd_int[4]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.800 ns                ;
; 30.914 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|p1ffd_int[1]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.800 ns                ;
; 30.914 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|p7ffd_int[4]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.800 ns                ;
; 31.014 ns ; 106.38 MHz ( period = 9.400 ns )             ; zports:porty|p1ffd_int[0]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.700 ns                ;
; 31.014 ns ; 106.38 MHz ( period = 9.400 ns )             ; zports:porty|p1ffd_int[0]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.700 ns                ;
; 31.514 ns ; 119.05 MHz ( period = 8.400 ns )             ; zports:porty|dos             ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.200 ns                ;
; 31.514 ns ; 119.05 MHz ( period = 8.400 ns )             ; zports:porty|dos             ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 9.200 ns                ;
; 33.114 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|plane[1]       ; pfpzu:profrom|newplane[0]     ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 34.314 ns                 ; 1.200 ns                ;
; 33.214 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|plane[1]       ; pfpzu:profrom|newplane[1]     ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 34.314 ns                 ; 1.100 ns                ;
; 33.214 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|newplane[1]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 34.314 ns                 ; 1.100 ns                ;
; 33.214 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|plane[0]       ; pfpzu:profrom|newplane[0]     ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 34.314 ns                 ; 1.100 ns                ;
; 33.214 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|newplane[0]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 34.314 ns                 ; 1.100 ns                ;
; 34.314 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[0]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 6.400 ns                ;
; 34.314 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[0]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 6.400 ns                ;
; 34.414 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[1]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 6.300 ns                ;
; 34.414 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[1]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; 35.714 ns                   ; 40.714 ns                 ; 6.300 ns                ;
; 58.228 ns ; 75.76 MHz ( period = 13.200 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[3]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.800 ns               ;
; 58.228 ns ; 75.76 MHz ( period = 13.200 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[1]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.800 ns               ;
; 58.228 ns ; 75.76 MHz ( period = 13.200 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[0]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.800 ns               ;
; 58.328 ns ; 76.34 MHz ( period = 13.100 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[5]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.700 ns               ;
; 58.328 ns ; 76.34 MHz ( period = 13.100 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[4]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.700 ns               ;
; 58.928 ns ; 80.00 MHz ( period = 12.500 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[2]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.100 ns               ;
; 59.028 ns ; 80.65 MHz ( period = 12.400 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[7]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.000 ns               ;
; 59.028 ns ; 80.65 MHz ( period = 12.400 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[6]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 11.000 ns               ;
; 59.828 ns ; 86.21 MHz ( period = 11.600 ns )             ; zports:porty|port_wr         ; zports:porty|p7ffd_int[1]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 10.200 ns               ;
; 59.828 ns ; 86.21 MHz ( period = 11.600 ns )             ; zports:porty|port_wr         ; zports:porty|p7ffd_int[0]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 10.200 ns               ;
; 60.728 ns ; 93.46 MHz ( period = 10.700 ns )             ; zports:porty|port_wr         ; zports:porty|p1ffd_int[0]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 9.300 ns                ;
; 61.228 ns ; 98.04 MHz ( period = 10.200 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[15]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.800 ns                ;
; 61.228 ns ; 98.04 MHz ( period = 10.200 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[14]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.800 ns                ;
; 61.228 ns ; 98.04 MHz ( period = 10.200 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[11]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.800 ns                ;
; 61.328 ns ; 99.01 MHz ( period = 10.100 ns )             ; zports:porty|port_wr         ; vg93:vgshka|vg_a[1]           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.700 ns                ;
; 61.428 ns ; 100.00 MHz ( period = 10.000 ns )            ; zports:porty|port_wr         ; vg93:vgshka|vg_side           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.600 ns                ;
; 61.428 ns ; 100.00 MHz ( period = 10.000 ns )            ; zports:porty|port_wr         ; vg93:vgshka|vg_a[0]           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.600 ns                ;
; 61.628 ns ; 102.04 MHz ( period = 9.800 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[6]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.400 ns                ;
; 61.728 ns ; 103.09 MHz ( period = 9.700 ns )             ; zports:porty|port_wr         ; zports:porty|p7ffd_int[2]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.300 ns                ;
; 61.728 ns ; 103.09 MHz ( period = 9.700 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[1]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.300 ns                ;
; 61.728 ns ; 103.09 MHz ( period = 9.700 ns )             ; zports:porty|port_wr         ; zports:porty|p7ffd_int[3]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.300 ns                ;
; 61.728 ns ; 103.09 MHz ( period = 9.700 ns )             ; zports:porty|dos             ; vg93:vgshka|vg_a[1]           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.300 ns                ;
; 61.728 ns ; 103.09 MHz ( period = 9.700 ns )             ; zports:porty|port_wr         ; zports:porty|p7ffd_int[5]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.300 ns                ;
; 61.728 ns ; 103.09 MHz ( period = 9.700 ns )             ; zports:porty|port_wr         ; zports:porty|p7ffd_int[4]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.300 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[13]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[12]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; zports:porty|p1ffd_int[7]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; zports:porty|p1ffd_int[6]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; zports:porty|p1ffd_int[4]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|dos             ; vg93:vgshka|vg_side           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|dos             ; vg93:vgshka|vg_a[0]           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; vg93:vgshka|vg_hrdy           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.828 ns ; 104.17 MHz ( period = 9.600 ns )             ; zports:porty|port_wr         ; zports:porty|p1ffd_int[1]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.200 ns                ;
; 61.928 ns ; 105.26 MHz ( period = 9.500 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[7]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.100 ns                ;
; 61.928 ns ; 105.26 MHz ( period = 9.500 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[5]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.100 ns                ;
; 61.928 ns ; 105.26 MHz ( period = 9.500 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[4]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.100 ns                ;
; 61.928 ns ; 105.26 MHz ( period = 9.500 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[10]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.100 ns                ;
; 61.928 ns ; 105.26 MHz ( period = 9.500 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[9]        ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.100 ns                ;
; 61.928 ns ; 105.26 MHz ( period = 9.500 ns )             ; zports:porty|port_wr         ; zports:porty|ideout[8]        ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 8.100 ns                ;
; 62.128 ns ; 107.53 MHz ( period = 9.300 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[2]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.900 ns                ;
; 62.128 ns ; 107.53 MHz ( period = 9.300 ns )             ; zports:porty|p7ffd_int[4]    ; zports:porty|dos              ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.900 ns                ;
; 62.228 ns ; 108.70 MHz ( period = 9.200 ns )             ; zports:porty|dos             ; vg93:vgshka|vg_hrdy           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.800 ns                ;
; 62.428 ns ; 111.11 MHz ( period = 9.000 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[5] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.600 ns                ;
; 62.428 ns ; 111.11 MHz ( period = 9.000 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[4] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.600 ns                ;
; 62.428 ns ; 111.11 MHz ( period = 9.000 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[3] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.600 ns                ;
; 62.428 ns ; 111.11 MHz ( period = 9.000 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[1] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.600 ns                ;
; 62.428 ns ; 111.11 MHz ( period = 9.000 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[0] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.600 ns                ;
; 62.628 ns ; 113.64 MHz ( period = 8.800 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[5] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.400 ns                ;
; 62.628 ns ; 113.64 MHz ( period = 8.800 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[4] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.400 ns                ;
; 62.628 ns ; 113.64 MHz ( period = 8.800 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[3] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.400 ns                ;
; 62.628 ns ; 113.64 MHz ( period = 8.800 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[1] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.400 ns                ;
; 62.628 ns ; 113.64 MHz ( period = 8.800 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[0] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.400 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[3]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_rd         ; zports:porty|comport_addr[1]  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_wr         ; zports:porty|comport_addr[1]  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[1]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_rd         ; zports:porty|comport_addr[0]  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_wr         ; zports:porty|comport_addr[0]  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.728 ns ; 114.94 MHz ( period = 8.700 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[0]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.300 ns                ;
; 62.828 ns ; 116.28 MHz ( period = 8.600 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[5]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.200 ns                ;
; 62.828 ns ; 116.28 MHz ( period = 8.600 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[4]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.200 ns                ;
; 62.828 ns ; 116.28 MHz ( period = 8.600 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[0]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 7.200 ns                ;
; 63.128 ns ; 120.48 MHz ( period = 8.300 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[2] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.900 ns                ;
; 63.128 ns ; 120.48 MHz ( period = 8.300 ns )             ; zports:porty|port_rd         ; zports:porty|idehiin[3]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.900 ns                ;
; 63.228 ns ; 121.95 MHz ( period = 8.200 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[7] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.800 ns                ;
; 63.228 ns ; 121.95 MHz ( period = 8.200 ns )             ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[6] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.800 ns                ;
; 63.328 ns ; 123.46 MHz ( period = 8.100 ns )             ; zports:porty|port_rd         ; zports:porty|comport_addr[2]  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.700 ns                ;
; 63.328 ns ; 123.46 MHz ( period = 8.100 ns )             ; zports:porty|port_wr         ; zports:porty|comport_addr[2]  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.700 ns                ;
; 63.328 ns ; 123.46 MHz ( period = 8.100 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[2] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.700 ns                ;
; 63.428 ns ; 125.00 MHz ( period = 8.000 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[7] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.600 ns                ;
; 63.428 ns ; 125.00 MHz ( period = 8.000 ns )             ; zports:porty|port_wr         ; zports:porty|gluclock_addr[6] ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.600 ns                ;
; 63.428 ns ; 125.00 MHz ( period = 8.000 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[2]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.600 ns                ;
; 63.528 ns ; 126.58 MHz ( period = 7.900 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[7]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.500 ns                ;
; 63.528 ns ; 126.58 MHz ( period = 7.900 ns )             ; zports:porty|port_wr         ; zports:porty|wait_write[6]    ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.500 ns                ;
; 64.028 ns ; 135.14 MHz ( period = 7.400 ns )             ; zint:preryv|intctr[1]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 6.000 ns                ;
; 64.128 ns ; 136.99 MHz ( period = 7.300 ns )             ; zports:porty|port_wr         ; vg93:vgshka|vg_res_n          ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.900 ns                ;
; 64.228 ns ; 138.89 MHz ( period = 7.200 ns )             ; zint:preryv|intctr[1]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.800 ns                ;
; 64.228 ns ; 138.89 MHz ( period = 7.200 ns )             ; zports:porty|port_wr         ; zports:porty|sdcs_n           ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.800 ns                ;
; 64.328 ns ; 140.85 MHz ( period = 7.100 ns )             ; zports:porty|port_wr         ; zports:porty|border[0]        ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.700 ns                ;
; 64.328 ns ; 140.85 MHz ( period = 7.100 ns )             ; zports:porty|port_wr         ; zports:porty|border[2]        ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.700 ns                ;
; 64.328 ns ; 140.85 MHz ( period = 7.100 ns )             ; zports:porty|port_wr         ; zports:porty|border[1]        ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.700 ns                ;
; 64.328 ns ; 140.85 MHz ( period = 7.100 ns )             ; zports:porty|port_wr         ; zports:porty|beep             ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.700 ns                ;
; 64.328 ns ; 140.85 MHz ( period = 7.100 ns )             ; zint:preryv|intctr[4]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.700 ns                ;
; 64.428 ns ; 142.86 MHz ( period = 7.000 ns )             ; zports:porty|dos             ; vg93:vgshka|vg_res_n          ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.600 ns                ;
; 64.528 ns ; 144.93 MHz ( period = 6.900 ns )             ; zint:preryv|intctr[1]        ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.500 ns                ;
; 64.528 ns ; 144.93 MHz ( period = 6.900 ns )             ; zports:porty|port_rd         ; zports:porty|sd_start_toggle  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.500 ns                ;
; 64.628 ns ; 147.06 MHz ( period = 6.800 ns )             ; zports:porty|port_wr         ; zports:porty|sd_start_toggle  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.400 ns                ;
; 64.828 ns ; 151.52 MHz ( period = 6.600 ns )             ; zint:preryv|intctr[4]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.200 ns                ;
; 64.828 ns ; 151.52 MHz ( period = 6.600 ns )             ; zint:preryv|intctr[5]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 5.200 ns                ;
; 65.228 ns ; 161.29 MHz ( period = 6.200 ns )             ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[1]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.800 ns                ;
; 65.228 ns ; 161.29 MHz ( period = 6.200 ns )             ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[0]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.800 ns                ;
; 65.228 ns ; 161.29 MHz ( period = 6.200 ns )             ; zint:preryv|intctr[2]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.800 ns                ;
; 65.328 ns ; 163.93 MHz ( period = 6.100 ns )             ; zint:preryv|intctr[3]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.700 ns                ;
; 65.428 ns ; 166.67 MHz ( period = 6.000 ns )             ; zports:porty|port_wr         ; zports:porty|peff7_int[5]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.600 ns                ;
; 65.428 ns ; 166.67 MHz ( period = 6.000 ns )             ; zint:preryv|intctr[2]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.600 ns                ;
; 65.428 ns ; 166.67 MHz ( period = 6.000 ns )             ; zports:porty|port_wr         ; zports:porty|peff7_int[7]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.600 ns                ;
; 65.428 ns ; 166.67 MHz ( period = 6.000 ns )             ; zports:porty|port_wr         ; zports:porty|peff7_int[0]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.600 ns                ;
; 65.528 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[1]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.500 ns                ;
; 65.528 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[3]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.500 ns                ;
; 65.628 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[1]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.400 ns                ;
; 65.628 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|dos             ; zports:porty|dos              ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.400 ns                ;
; 65.728 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[0]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.300 ns                ;
; 65.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[0]        ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.100 ns                ;
; 65.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.100 ns                ;
; 65.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.100 ns                ;
; 65.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[0]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.100 ns                ;
; 66.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|port_wr         ; zports:porty|wait_rnw         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.000 ns                ;
; 66.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.000 ns                ;
; 66.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.000 ns                ;
; 66.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 4.000 ns                ;
; 66.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|port_rd         ; zports:porty|wait_rnw         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.900 ns                ;
; 66.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.900 ns                ;
; 66.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|turbo           ; zports:porty|turbo            ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.900 ns                ;
; 66.728 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[2]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.300 ns                ;
; 67.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.000 ns                ;
; 67.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.000 ns                ;
; 67.028 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 3.000 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[2]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[2]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[3]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[3]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[5]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[4]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.900 ns                ;
; 67.228 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[0]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.800 ns                ;
; 67.328 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[0]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.700 ns                ;
; 67.628 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[5]        ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.400 ns                ;
; 67.728 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[5]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.300 ns                ;
; 67.728 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[5]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.300 ns                ;
; 67.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg1             ; zint:preryv|ibg2              ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 2.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|wait_rnw        ; zports:porty|wait_rnw         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[5]        ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[5]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|rstsync2        ; zports:porty|dos              ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|iowr_reg        ; zports:porty|port_wr          ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[5]        ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.828 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|int_n            ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.200 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|sd_start_toggle ; zports:porty|sd_start_toggle  ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; vg93:vgshka|intrq_sync[0]    ; vg93:vgshka|intrq_sync[1]     ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; vg93:vgshka|drq_sync[0]      ; vg93:vgshka|drq_sync[1]       ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|iord_reg        ; zports:porty|port_rd          ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg2             ; zint:preryv|ibg3              ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zports:porty|rstsync1        ; zports:porty|rstsync2         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 68.928 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|ibg3             ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 1.100 ns                ;
; 69.128 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; zint:preryv|intctr[0]        ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 71.428 ns                   ; 70.028 ns                 ; 0.900 ns                ;
+-----------+----------------------------------------------+------------------------------+-------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Setup: 'mreq_n'                                                                                                                                                                                                        ;
+-----------+----------------------------------------------+---------------------------+---------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; Slack     ; Actual fmax (period)                         ; From                      ; To                        ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
+-----------+----------------------------------------------+---------------------------+---------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; 18.233 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|plane[1]    ; pfpzu:profrom|newplane[0] ; mreq_n     ; mreq_n   ; 20.833 ns                   ; 19.433 ns                 ; 1.200 ns                ;
; 18.333 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|plane[1]    ; pfpzu:profrom|newplane[1] ; mreq_n     ; mreq_n   ; 20.833 ns                   ; 19.433 ns                 ; 1.100 ns                ;
; 18.333 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|newplane[1] ; pfpzu:profrom|plane[1]    ; mreq_n     ; mreq_n   ; 20.833 ns                   ; 19.433 ns                 ; 1.100 ns                ;
; 18.333 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|plane[0]    ; pfpzu:profrom|newplane[0] ; mreq_n     ; mreq_n   ; 20.833 ns                   ; 19.433 ns                 ; 1.100 ns                ;
; 18.333 ns ; Restricted to 166.67 MHz ( period = 6.0 ns ) ; pfpzu:profrom|newplane[0] ; pfpzu:profrom|plane[0]    ; mreq_n     ; mreq_n   ; 20.833 ns                   ; 19.433 ns                 ; 1.100 ns                ;
+-----------+----------------------------------------------+---------------------------+---------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Hold: 'fclk'                                                                                                                                                                                                                                                                                                                         ;
+-----------------------------------------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+------------+----------+----------------------------+----------------------------+--------------------------+
; Minimum Slack                           ; From                                                                                     ; To                                                                                       ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ;
+-----------------------------------------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+------------+----------+----------------------------+----------------------------+--------------------------+
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[6]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[6]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[5]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[6]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[6]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[7]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[7]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[7]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[0]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[0]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[0]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[1]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[1]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[1]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[1]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[2]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[2]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[2]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[2]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[3]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[3]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[3]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[4]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[4]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[4]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[4]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[5]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[5]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[5]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[3] ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[3] ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[2] ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[3] ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[0]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[0]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[0]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[1]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[1]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[1]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[1]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[2]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[2]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[2]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[3]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[4]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[4]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[4]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[5]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[5]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[5]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; spi2:zspi|counter[0]                                                                     ; spi2:zspi|counter[0]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[0] ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[0] ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[2] ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[2] ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[3]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[3]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[5]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[6]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.800 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[6]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[6]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[6]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[5]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[7]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[0]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[2]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[1]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[3]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[4]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[5]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[0]               ; lpm_counter:ayclk_gen_rtl_0|alt_counter_f10ke:wysi_counter|counter_cell[2]               ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[2]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[4]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[0]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[2]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:wcnt_rtl_6|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[1]  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[5]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[2]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:hcnt_rtl_8|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[0] ; vg93:vgshka|lpm_counter:wrwidth_cnt_rtl_4|alt_counter_f10ke:wysi_counter|counter_cell[2] ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 0.900 ns                                ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[4]  ; resetter:myrst|lpm_counter:rst_cnt_rtl_1|alt_counter_f10ke:wysi_counter|counter_cell[6]  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.000 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[4]                                                             ; zkbdmus:zkbdmus|kbd[4]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[4]                                                             ; slavespi:slavespi|kbd_reg[3]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[3]                                                             ; zkbdmus:zkbdmus|kbd[3]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[1]                                                             ; slavespi:slavespi|kbd_reg[0]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[6]                                                             ; slavespi:slavespi|kbd_reg[5]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[7]                                                             ; slavespi:slavespi|kbd_reg[6]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[6]                                                             ; zkbdmus:zkbdmus|kbd[6]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[2]                                                             ; slavespi:slavespi|kbd_reg[1]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[1]                                                             ; zkbdmus:zkbdmus|kbd[1]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[8]                                                             ; slavespi:slavespi|kbd_reg[7]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[7]                                                             ; zkbdmus:zkbdmus|kbd[7]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[2]                                                             ; zkbdmus:zkbdmus|kbd[2]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[13]                                                            ; slavespi:slavespi|kbd_reg[12]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[12]                                                            ; zkbdmus:zkbdmus|kbd[12]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[12]                                                            ; slavespi:slavespi|kbd_reg[11]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[11]                                                            ; zkbdmus:zkbdmus|kbd[11]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[8]                                                             ; zkbdmus:zkbdmus|kbd[8]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[13]                                                            ; zkbdmus:zkbdmus|kbd[13]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[15]                                                            ; slavespi:slavespi|kbd_reg[14]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[10]                                                            ; slavespi:slavespi|kbd_reg[9]                                                             ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[9]                                                             ; zkbdmus:zkbdmus|kbd[9]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[16]                                                            ; slavespi:slavespi|kbd_reg[15]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[10]                                                            ; zkbdmus:zkbdmus|kbd[10]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[20]                                                            ; zkbdmus:zkbdmus|kbd[20]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[20]                                                            ; slavespi:slavespi|kbd_reg[19]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[19]                                                            ; zkbdmus:zkbdmus|kbd[19]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[17]                                                            ; slavespi:slavespi|kbd_reg[16]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[22]                                                            ; slavespi:slavespi|kbd_reg[21]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[23]                                                            ; slavespi:slavespi|kbd_reg[22]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[22]                                                            ; zkbdmus:zkbdmus|kbd[22]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[17]                                                            ; zkbdmus:zkbdmus|kbd[17]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[24]                                                            ; slavespi:slavespi|kbd_reg[23]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[23]                                                            ; zkbdmus:zkbdmus|kbd[23]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[19]                                                            ; slavespi:slavespi|kbd_reg[18]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[29]                                                            ; slavespi:slavespi|kbd_reg[28]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[28]                                                            ; zkbdmus:zkbdmus|kbd[28]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[28]                                                            ; slavespi:slavespi|kbd_reg[27]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[27]                                                            ; zkbdmus:zkbdmus|kbd[27]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[24]                                                            ; zkbdmus:zkbdmus|kbd[24]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[29]                                                            ; zkbdmus:zkbdmus|kbd[29]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[26]                                                            ; slavespi:slavespi|kbd_reg[25]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[25]                                                            ; zkbdmus:zkbdmus|kbd[25]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[32]                                                            ; slavespi:slavespi|kbd_reg[31]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[26]                                                            ; zkbdmus:zkbdmus|kbd[26]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[36]                                                            ; zkbdmus:zkbdmus|kbd[36]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[35]                                                            ; zkbdmus:zkbdmus|kbd[35]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[33]                                                            ; slavespi:slavespi|kbd_reg[32]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[32]                                                            ; zkbdmus:zkbdmus|kbd[32]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[38]                                                            ; slavespi:slavespi|kbd_reg[37]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[39]                                                            ; slavespi:slavespi|kbd_reg[38]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[38]                                                            ; zkbdmus:zkbdmus|kbd[38]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[34]                                                            ; slavespi:slavespi|kbd_reg[33]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[39]                                                            ; zkbdmus:zkbdmus|kbd[39]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[35]                                                            ; slavespi:slavespi|kbd_reg[34]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|kbd_reg[34]                                                            ; zkbdmus:zkbdmus|kbd[34]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[6]                                                           ; slavespi:slavespi|mouse_buf[5]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[5]                                                           ; slavespi:slavespi|mouse_buf[4]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[4]                                                           ; slavespi:slavespi|mouse_buf[3]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[3]                                                           ; slavespi:slavespi|mouse_buf[2]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[2]                                                           ; slavespi:slavespi|mouse_buf[1]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[1]                                                           ; slavespi:slavespi|mouse_buf[0]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|spido_sync[1]                                                          ; slavespi:slavespi|cfg0_reg_in[7]                                                         ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; zports:porty|sd_stgl[2]                                                                  ; spi2:zspi|counter[1]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; zports:porty|sd_stgl[2]                                                                  ; spi2:zspi|counter[2]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; zports:porty|sd_stgl[2]                                                                  ; spi2:zspi|counter[3]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; vg93:vgshka|tr43_sync[0]                                                                 ; vg93:vgshka|tr43_sync[1]                                                                 ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|cfg0_reg_in[7]                                                         ; slavespi:slavespi|cfg0_reg_in[6]                                                         ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[3]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[6]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fetch:fecher|lpm_counter:vcnt_rtl_7|alt_counter_f10ke:wysi_counter|counter_cell[7]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; zclock:z80clk|zpos                                                                       ; zclock:z80clk|old_rfsh_n                                                                 ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[5]                                                                     ; spi2:zspi|shiftin[6]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[6]                                                                     ; spi2:zspi|dout[7]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|mouse_buf[7]                                                           ; slavespi:slavespi|mouse_buf[6]                                                           ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|cfg0_reg_in[2]                                                         ; slavespi:slavespi|cfg0_reg_out[2]                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[4]                                                                     ; spi2:zspi|shiftin[5]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[5]                                                                     ; spi2:zspi|dout[6]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[3]                                                                     ; spi2:zspi|shiftin[4]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[4]                                                                     ; spi2:zspi|dout[5]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[7]                                                            ; slavespi:slavespi|wait_reg[6]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[3]                                                                     ; spi2:zspi|dout[4]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[6]                                                            ; slavespi:slavespi|wait_reg[5]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[1]                                                                     ; spi2:zspi|shiftin[2]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[2]                                                                     ; spi2:zspi|dout[3]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[5]                                                            ; slavespi:slavespi|wait_reg[4]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[0]                                                                     ; spi2:zspi|shiftin[1]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[1]                                                                     ; spi2:zspi|dout[2]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[4]                                                            ; slavespi:slavespi|wait_reg[3]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; spi2:zspi|shiftin[0]                                                                     ; spi2:zspi|dout[1]                                                                        ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[3]                                                            ; slavespi:slavespi|wait_reg[2]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[2]                                                            ; slavespi:slavespi|wait_reg[1]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|wait_reg[1]                                                            ; slavespi:slavespi|wait_reg[0]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|spics_n_sync[2]                                                        ; slavespi:slavespi|regnum[3]                                                              ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; zports:porty|sd_stgl[0]                                                                  ; zports:porty|sd_stgl[1]                                                                  ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; vg93:vgshka|sr_sync[0]                                                                   ; vg93:vgshka|sr_sync[1]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; vg93:vgshka|sl_sync[0]                                                                   ; vg93:vgshka|sl_sync[1]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; vg93:vgshka|rawr_sr[0]                                                                   ; vg93:vgshka|rawr_sr[1]                                                                   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; vg93:vgshka|step_pulse[1]                                                                ; vg93:vgshka|step_pulse[2]                                                                ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; vg93:vgshka|step_pulse[0]                                                                ; vg93:vgshka|step_pulse[1]                                                                ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; slavespi:slavespi|cfg0_reg_in[6]                                                         ; slavespi:slavespi|cfg0_reg_in[5]                                                         ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; synch:horiz_sync|hsync_start                                                             ; vga_synch:vga_synch|hcount[1]                                                            ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[0]   ; fetch:fecher|lpm_counter:flashctr_rtl_3|alt_counter_f10ke:wysi_counter|counter_cell[3]   ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[1]       ; fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[4]       ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns                                ; fetch:fecher|dcnt[0]                                                                     ; fetch:fecher|dcnt[0]                                                                     ; fclk       ; fclk     ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu)                                      ;                                                                                          ;            ;          ;                            ;                            ;                          ;
+-----------------------------------------+------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------+------------+----------+----------------------------+----------------------------+--------------------------+


+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Hold: 'clkz_in'                                                                                                                                                                     ;
+---------------+------------------------------+-------------------------------+------------+----------+----------------------------+----------------------------+--------------------------+
; Minimum Slack ; From                         ; To                            ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ;
+---------------+------------------------------+-------------------------------+------------+----------+----------------------------+----------------------------+--------------------------+
; 0.800 ns      ; zint:preryv|intctr[0]        ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 0.900 ns                 ;
; 1.000 ns      ; zint:preryv|intctr[1]        ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zint:preryv|intctr[2]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zports:porty|sd_start_toggle ; zports:porty|sd_start_toggle  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zint:preryv|intctr[3]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zint:preryv|intctr[4]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; vg93:vgshka|intrq_sync[0]    ; vg93:vgshka|intrq_sync[1]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; vg93:vgshka|drq_sync[0]      ; vg93:vgshka|drq_sync[1]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zports:porty|iord_reg        ; zports:porty|port_rd          ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zint:preryv|ibg2             ; zint:preryv|ibg3              ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zports:porty|rstsync1        ; zports:porty|rstsync2         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.000 ns      ; zint:preryv|ibg3             ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.100 ns                 ;
; 1.100 ns      ; zports:porty|wait_rnw        ; zports:porty|wait_rnw         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zint:preryv|intctr[5]        ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zint:preryv|intctr[5]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zports:porty|rstsync2        ; zports:porty|dos              ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zports:porty|iowr_reg        ; zports:porty|port_wr          ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zint:preryv|ibg2             ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zint:preryv|intctr[5]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zint:preryv|intctr[5]        ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 1.100 ns      ; zint:preryv|int_n            ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 1.200 ns                 ;
; 2.100 ns      ; zint:preryv|ibg1             ; zint:preryv|ibg2              ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.200 ns                 ;
; 2.200 ns      ; zint:preryv|intctr[5]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.300 ns                 ;
; 2.200 ns      ; zint:preryv|intctr[5]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.300 ns                 ;
; 2.300 ns      ; zint:preryv|intctr[5]        ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.400 ns                 ;
; 2.600 ns      ; zint:preryv|intctr[0]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.700 ns                 ;
; 2.700 ns      ; zint:preryv|intctr[0]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.800 ns                 ;
; 2.700 ns      ; zports:porty|dos             ; zports:porty|dos              ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.800 ns                 ;
; 2.800 ns      ; zint:preryv|ibg3             ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.800 ns      ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[2]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.800 ns      ; zint:preryv|ibg3             ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.800 ns      ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[3]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.800 ns      ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[5]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.800 ns      ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[4]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.800 ns      ; zint:preryv|ibg3             ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 2.900 ns                 ;
; 2.900 ns      ; zint:preryv|ibg2             ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.000 ns                 ;
; 2.900 ns      ; zint:preryv|ibg2             ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.000 ns                 ;
; 2.900 ns      ; zint:preryv|ibg2             ; zint:preryv|int_n             ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.000 ns                 ;
; 3.200 ns      ; zint:preryv|intctr[2]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.300 ns                 ;
; 3.800 ns      ; zports:porty|port_rd         ; zports:porty|wait_rnw         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.900 ns                 ;
; 3.800 ns      ; zint:preryv|ibg3             ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.900 ns                 ;
; 3.800 ns      ; zports:porty|turbo           ; zports:porty|turbo            ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 3.900 ns                 ;
; 3.900 ns      ; zports:porty|port_wr         ; zports:porty|wait_rnw         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.000 ns                 ;
; 3.900 ns      ; zint:preryv|ibg2             ; zint:preryv|intctr[0]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.000 ns                 ;
; 3.900 ns      ; zint:preryv|ibg3             ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.000 ns                 ;
; 3.900 ns      ; zint:preryv|ibg3             ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.000 ns                 ;
; 4.000 ns      ; zint:preryv|intctr[0]        ; zint:preryv|intctr[1]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.100 ns                 ;
; 4.000 ns      ; zint:preryv|ibg2             ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.100 ns                 ;
; 4.000 ns      ; zint:preryv|ibg2             ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.100 ns                 ;
; 4.000 ns      ; zint:preryv|intctr[0]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.100 ns                 ;
; 4.200 ns      ; zint:preryv|intctr[0]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.300 ns                 ;
; 4.300 ns      ; zint:preryv|intctr[1]        ; zint:preryv|intctr[2]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.400 ns                 ;
; 4.400 ns      ; zint:preryv|intctr[1]        ; zint:preryv|intctr[3]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.500 ns                 ;
; 4.400 ns      ; zint:preryv|intctr[3]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.500 ns                 ;
; 4.500 ns      ; zports:porty|port_wr         ; zports:porty|peff7_int[5]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.600 ns                 ;
; 4.500 ns      ; zint:preryv|intctr[2]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.600 ns                 ;
; 4.500 ns      ; zports:porty|port_wr         ; zports:porty|peff7_int[7]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.600 ns                 ;
; 4.500 ns      ; zports:porty|port_wr         ; zports:porty|peff7_int[0]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.600 ns                 ;
; 4.600 ns      ; zint:preryv|intctr[3]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.700 ns                 ;
; 4.700 ns      ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[1]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.800 ns                 ;
; 4.700 ns      ; zports:porty|p7ffd_int[5]    ; zports:porty|p7ffd_int[0]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.800 ns                 ;
; 4.700 ns      ; zint:preryv|intctr[2]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 4.800 ns                 ;
; 5.300 ns      ; zports:porty|port_wr         ; zports:porty|sd_start_toggle  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.400 ns                 ;
; 5.400 ns      ; zports:porty|port_rd         ; zports:porty|sd_start_toggle  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.500 ns                 ;
; 5.500 ns      ; zports:porty|dos             ; vg93:vgshka|vg_res_n          ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.600 ns                 ;
; 5.600 ns      ; zports:porty|port_wr         ; zports:porty|border[0]        ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.700 ns                 ;
; 5.600 ns      ; zports:porty|port_wr         ; zports:porty|border[2]        ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.700 ns                 ;
; 5.600 ns      ; zports:porty|port_wr         ; zports:porty|border[1]        ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.700 ns                 ;
; 5.600 ns      ; zports:porty|port_wr         ; zports:porty|beep             ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.700 ns                 ;
; 5.600 ns      ; zint:preryv|intctr[4]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.700 ns                 ;
; 5.700 ns      ; zint:preryv|intctr[1]        ; zint:preryv|intctr[4]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.800 ns                 ;
; 5.700 ns      ; zports:porty|port_wr         ; zports:porty|sdcs_n           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.800 ns                 ;
; 5.800 ns      ; zports:porty|port_wr         ; vg93:vgshka|vg_res_n          ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 5.900 ns                 ;
; 5.900 ns      ; zint:preryv|intctr[1]        ; zint:preryv|intctr[5]         ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.000 ns                 ;
; 6.400 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[7]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.500 ns                 ;
; 6.400 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[6]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.500 ns                 ;
; 6.500 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[7] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.600 ns                 ;
; 6.500 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[6] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.600 ns                 ;
; 6.500 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[2]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.600 ns                 ;
; 6.600 ns      ; zports:porty|port_rd         ; zports:porty|comport_addr[2]  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.700 ns                 ;
; 6.600 ns      ; zports:porty|port_wr         ; zports:porty|comport_addr[2]  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.700 ns                 ;
; 6.600 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[2] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.700 ns                 ;
; 6.700 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[7] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.800 ns                 ;
; 6.700 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[6] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.800 ns                 ;
; 6.800 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[2] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.900 ns                 ;
; 6.800 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[3]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 6.900 ns                 ;
; 7.100 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[5]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.200 ns                 ;
; 7.100 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[4]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.200 ns                 ;
; 7.100 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[0]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.200 ns                 ;
; 7.200 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[3]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.200 ns      ; zports:porty|port_rd         ; zports:porty|comport_addr[1]  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.200 ns      ; zports:porty|port_wr         ; zports:porty|comport_addr[1]  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.200 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[1]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.200 ns      ; zports:porty|port_rd         ; zports:porty|comport_addr[0]  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.200 ns      ; zports:porty|port_wr         ; zports:porty|comport_addr[0]  ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.200 ns      ; zports:porty|port_wr         ; zports:porty|wait_write[0]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.300 ns                 ;
; 7.300 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[5] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.400 ns                 ;
; 7.300 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[4] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.400 ns                 ;
; 7.300 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[3] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.400 ns                 ;
; 7.300 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[1] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.400 ns                 ;
; 7.300 ns      ; zports:porty|port_wr         ; zports:porty|gluclock_addr[0] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.400 ns                 ;
; 7.500 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[5] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.600 ns                 ;
; 7.500 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[4] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.600 ns                 ;
; 7.500 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[3] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.600 ns                 ;
; 7.500 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[1] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.600 ns                 ;
; 7.500 ns      ; zports:porty|peff7_int[7]    ; zports:porty|gluclock_addr[0] ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.600 ns                 ;
; 7.700 ns      ; zports:porty|dos             ; vg93:vgshka|vg_hrdy           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.800 ns                 ;
; 7.800 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[2]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.900 ns                 ;
; 7.800 ns      ; zports:porty|p7ffd_int[4]    ; zports:porty|dos              ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 7.900 ns                 ;
; 8.000 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[7]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.100 ns                 ;
; 8.000 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[5]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.100 ns                 ;
; 8.000 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[4]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.100 ns                 ;
; 8.000 ns      ; zports:porty|port_wr         ; zports:porty|ideout[10]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.100 ns                 ;
; 8.000 ns      ; zports:porty|port_wr         ; zports:porty|ideout[9]        ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.100 ns                 ;
; 8.000 ns      ; zports:porty|port_wr         ; zports:porty|ideout[8]        ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.100 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; zports:porty|ideout[13]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; zports:porty|ideout[12]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; zports:porty|p1ffd_int[7]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; zports:porty|p1ffd_int[6]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; zports:porty|p1ffd_int[4]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|dos             ; vg93:vgshka|vg_side           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|dos             ; vg93:vgshka|vg_a[0]           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; vg93:vgshka|vg_hrdy           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.100 ns      ; zports:porty|port_wr         ; zports:porty|p1ffd_int[1]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.200 ns                 ;
; 8.200 ns      ; zports:porty|port_wr         ; zports:porty|p7ffd_int[2]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.300 ns                 ;
; 8.200 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[1]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.300 ns                 ;
; 8.200 ns      ; zports:porty|port_wr         ; zports:porty|p7ffd_int[3]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.300 ns                 ;
; 8.200 ns      ; zports:porty|dos             ; vg93:vgshka|vg_a[1]           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.300 ns                 ;
; 8.200 ns      ; zports:porty|port_wr         ; zports:porty|p7ffd_int[5]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.300 ns                 ;
; 8.200 ns      ; zports:porty|port_wr         ; zports:porty|p7ffd_int[4]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.300 ns                 ;
; 8.300 ns      ; zports:porty|port_rd         ; zports:porty|idehiin[6]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.400 ns                 ;
; 8.500 ns      ; zports:porty|port_wr         ; vg93:vgshka|vg_side           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.600 ns                 ;
; 8.500 ns      ; zports:porty|port_wr         ; vg93:vgshka|vg_a[0]           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.600 ns                 ;
; 8.600 ns      ; zports:porty|port_wr         ; vg93:vgshka|vg_a[1]           ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.700 ns                 ;
; 8.700 ns      ; zports:porty|port_wr         ; zports:porty|ideout[15]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.800 ns                 ;
; 8.700 ns      ; zports:porty|port_wr         ; zports:porty|ideout[14]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.800 ns                 ;
; 8.700 ns      ; zports:porty|port_wr         ; zports:porty|ideout[11]       ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 8.800 ns                 ;
; 9.000 ns      ; zint:preryv|ibeg             ; zint:preryv|ibg1              ; fclk       ; clkz_in  ; -8.000 ns                  ; -7.900 ns                  ; 1.100 ns                 ;
; 9.200 ns      ; zports:porty|port_wr         ; zports:porty|p1ffd_int[0]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 9.300 ns                 ;
; 10.100 ns     ; zports:porty|port_wr         ; zports:porty|p7ffd_int[1]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 10.200 ns                ;
; 10.100 ns     ; zports:porty|port_wr         ; zports:porty|p7ffd_int[0]     ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 10.200 ns                ;
; 10.900 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[7]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.000 ns                ;
; 10.900 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[6]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.000 ns                ;
; 11.000 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[2]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.100 ns                ;
; 11.100 ns     ; resetter:myrst|rst_out_n     ; zports:porty|rstsync1         ; fclk       ; clkz_in  ; -8.000 ns                  ; -7.900 ns                  ; 3.200 ns                 ;
; 11.600 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[5]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.700 ns                ;
; 11.600 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[4]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.700 ns                ;
; 11.700 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[3]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.800 ns                ;
; 11.700 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[1]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.800 ns                ;
; 11.700 ns     ; zports:porty|peff7_int[7]    ; zports:porty|wait_write[0]    ; clkz_in    ; clkz_in  ; 0.000 ns                   ; 0.100 ns                   ; 11.800 ns                ;
; 15.900 ns     ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_hrdy           ; fclk       ; clkz_in  ; -8.000 ns                  ; -7.900 ns                  ; 8.000 ns                 ;
; 16.300 ns     ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_side           ; fclk       ; clkz_in  ; -8.000 ns                  ; -7.900 ns                  ; 8.400 ns                 ;
; 16.300 ns     ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_a[0]           ; fclk       ; clkz_in  ; -8.000 ns                  ; -7.900 ns                  ; 8.400 ns                 ;
; 16.400 ns     ; resetter:myrst|rst_out_n     ; vg93:vgshka|vg_a[1]           ; fclk       ; clkz_in  ; -8.000 ns                  ; -7.900 ns                  ; 8.500 ns                 ;
; 35.514 ns     ; zports:porty|p7ffd_int[1]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 6.300 ns                 ;
; 35.514 ns     ; zports:porty|p7ffd_int[1]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 6.300 ns                 ;
; 35.614 ns     ; zports:porty|p7ffd_int[0]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 6.400 ns                 ;
; 35.614 ns     ; zports:porty|p7ffd_int[0]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 6.400 ns                 ;
; 36.714 ns     ; pfpzu:profrom|plane[1]       ; pfpzu:profrom|newplane[1]     ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -35.614 ns                 ; 1.100 ns                 ;
; 36.714 ns     ; pfpzu:profrom|newplane[1]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -35.614 ns                 ; 1.100 ns                 ;
; 36.714 ns     ; pfpzu:profrom|plane[0]       ; pfpzu:profrom|newplane[0]     ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -35.614 ns                 ; 1.100 ns                 ;
; 36.714 ns     ; pfpzu:profrom|newplane[0]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -35.614 ns                 ; 1.100 ns                 ;
; 36.814 ns     ; pfpzu:profrom|plane[1]       ; pfpzu:profrom|newplane[0]     ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -35.614 ns                 ; 1.200 ns                 ;
; 38.414 ns     ; zports:porty|dos             ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.200 ns                 ;
; 38.414 ns     ; zports:porty|dos             ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.200 ns                 ;
; 38.714 ns     ; zports:porty|p1ffd_int[1]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.500 ns                 ;
; 38.714 ns     ; zports:porty|p1ffd_int[1]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.500 ns                 ;
; 38.814 ns     ; zports:porty|p1ffd_int[0]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.600 ns                 ;
; 38.814 ns     ; zports:porty|p1ffd_int[0]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.600 ns                 ;
; 39.014 ns     ; zports:porty|p7ffd_int[4]    ; pfpzu:profrom|plane[1]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.800 ns                 ;
; 39.014 ns     ; zports:porty|p7ffd_int[4]    ; pfpzu:profrom|plane[0]        ; clkz_in    ; clkz_in  ; -35.714 ns                 ; -29.214 ns                 ; 9.800 ns                 ;
+---------------+------------------------------+-------------------------------+------------+----------+----------------------------+----------------------------+--------------------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Hold: 'mreq_n'                                                                                                                                                               ;
+---------------+---------------------------+---------------------------+------------+----------+----------------------------+----------------------------+--------------------------+
; Minimum Slack ; From                      ; To                        ; From Clock ; To Clock ; Required Hold Relationship ; Required Shortest P2P Time ; Actual Shortest P2P Time ;
+---------------+---------------------------+---------------------------+------------+----------+----------------------------+----------------------------+--------------------------+
; 21.833 ns     ; pfpzu:profrom|plane[1]    ; pfpzu:profrom|newplane[1] ; mreq_n     ; mreq_n   ; -20.833 ns                 ; -20.733 ns                 ; 1.100 ns                 ;
; 21.833 ns     ; pfpzu:profrom|newplane[1] ; pfpzu:profrom|plane[1]    ; mreq_n     ; mreq_n   ; -20.833 ns                 ; -20.733 ns                 ; 1.100 ns                 ;
; 21.833 ns     ; pfpzu:profrom|plane[0]    ; pfpzu:profrom|newplane[0] ; mreq_n     ; mreq_n   ; -20.833 ns                 ; -20.733 ns                 ; 1.100 ns                 ;
; 21.833 ns     ; pfpzu:profrom|newplane[0] ; pfpzu:profrom|plane[0]    ; mreq_n     ; mreq_n   ; -20.833 ns                 ; -20.733 ns                 ; 1.100 ns                 ;
; 21.933 ns     ; pfpzu:profrom|plane[1]    ; pfpzu:profrom|newplane[0] ; mreq_n     ; mreq_n   ; -20.833 ns                 ; -20.733 ns                 ; 1.200 ns                 ;
+---------------+---------------------------+---------------------------+------------+----------+----------------------------+----------------------------+--------------------------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------+
; tsu                                                                                                                                                            ;
+-----------------------------------------+-----------------------------------------------------+------------+--------+-------------------------------+----------+
; Slack                                   ; Required tsu                                        ; Actual tsu ; From   ; To                            ; To Clock ;
+-----------------------------------------+-----------------------------------------------------+------------+--------+-------------------------------+----------+
; N/A                                     ; None                                                ; 28.400 ns  ; a[3]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 28.400 ns  ; a[3]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 27.500 ns  ; a[3]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 27.000 ns  ; a[7]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 27.000 ns  ; a[7]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.600 ns  ; a[6]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.600 ns  ; a[6]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.500 ns  ; a[3]   ; zports:porty|p7ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.500 ns  ; a[3]   ; zports:porty|p7ffd_int[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.500 ns  ; a[3]   ; zports:porty|p7ffd_int[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.500 ns  ; a[3]   ; zports:porty|p7ffd_int[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.400 ns  ; a[3]   ; zports:porty|p1ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.400 ns  ; a[3]   ; zports:porty|p1ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.400 ns  ; a[3]   ; zports:porty|p1ffd_int[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.400 ns  ; a[3]   ; zports:porty|p1ffd_int[7]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.200 ns  ; a[5]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.200 ns  ; a[5]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 26.100 ns  ; a[7]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.700 ns  ; a[6]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.300 ns  ; a[5]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.100 ns  ; a[7]   ; zports:porty|p7ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.100 ns  ; a[7]   ; zports:porty|p7ffd_int[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.100 ns  ; a[7]   ; zports:porty|p7ffd_int[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.100 ns  ; a[7]   ; zports:porty|p7ffd_int[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.000 ns  ; a[7]   ; zports:porty|p1ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.000 ns  ; a[7]   ; zports:porty|p1ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.000 ns  ; a[7]   ; zports:porty|p1ffd_int[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; 25.000 ns  ; a[7]   ; zports:porty|p1ffd_int[7]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.700 ns  ; a[6]   ; zports:porty|p7ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.700 ns  ; a[6]   ; zports:porty|p7ffd_int[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.700 ns  ; a[6]   ; zports:porty|p7ffd_int[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.700 ns  ; a[6]   ; zports:porty|p7ffd_int[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.600 ns  ; a[6]   ; zports:porty|p1ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.600 ns  ; a[6]   ; zports:porty|p1ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.600 ns  ; a[6]   ; zports:porty|p1ffd_int[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.600 ns  ; a[6]   ; zports:porty|p1ffd_int[7]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.300 ns  ; a[5]   ; zports:porty|p7ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.300 ns  ; a[5]   ; zports:porty|p7ffd_int[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.300 ns  ; a[5]   ; zports:porty|p7ffd_int[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.300 ns  ; a[5]   ; zports:porty|p7ffd_int[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.200 ns  ; a[5]   ; zports:porty|p1ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.200 ns  ; a[5]   ; zports:porty|p1ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.200 ns  ; a[5]   ; zports:porty|p1ffd_int[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; 24.200 ns  ; a[5]   ; zports:porty|p1ffd_int[7]     ; clkz_in  ;
; N/A                                     ; None                                                ; 22.400 ns  ; a[4]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 22.400 ns  ; a[4]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 22.100 ns  ; a[2]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 22.100 ns  ; a[2]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 21.500 ns  ; a[4]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 21.200 ns  ; a[2]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.900 ns  ; a[1]   ; zports:porty|wait_write[0]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.900 ns  ; a[1]   ; zports:porty|wait_write[1]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.900 ns  ; a[1]   ; zports:porty|wait_write[3]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.900 ns  ; a[0]   ; zports:porty|wait_write[0]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.900 ns  ; a[0]   ; zports:porty|wait_write[1]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.900 ns  ; a[0]   ; zports:porty|wait_write[3]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|gluclock_addr[0] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|gluclock_addr[1] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|gluclock_addr[3] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|wait_write[4]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|gluclock_addr[4] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|wait_write[5]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[1]   ; zports:porty|gluclock_addr[5] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[6]   ; zports:porty|wait_write[0]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[6]   ; zports:porty|wait_write[1]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[6]   ; zports:porty|wait_write[3]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|gluclock_addr[0] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|gluclock_addr[1] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|gluclock_addr[3] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|wait_write[4]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|gluclock_addr[4] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|wait_write[5]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.800 ns  ; a[0]   ; zports:porty|gluclock_addr[5] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[2]   ; zports:porty|wait_write[0]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[2]   ; zports:porty|wait_write[1]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[2]   ; zports:porty|wait_write[3]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|gluclock_addr[0] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|gluclock_addr[1] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|gluclock_addr[3] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|wait_write[4]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|gluclock_addr[4] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|wait_write[5]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.700 ns  ; a[6]   ; zports:porty|gluclock_addr[5] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|gluclock_addr[0] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|gluclock_addr[1] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|gluclock_addr[3] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|wait_write[4]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|gluclock_addr[4] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|wait_write[5]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.600 ns  ; a[2]   ; zports:porty|gluclock_addr[5] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.500 ns  ; a[4]   ; zports:porty|p7ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.500 ns  ; a[4]   ; zports:porty|p7ffd_int[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.500 ns  ; a[4]   ; zports:porty|p7ffd_int[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.500 ns  ; a[4]   ; zports:porty|p7ffd_int[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.500 ns  ; a[15]  ; dram:dramko|rwe_n             ; fclk     ;
; N/A                                     ; None                                                ; 20.400 ns  ; a[4]   ; zports:porty|p1ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.400 ns  ; a[4]   ; zports:porty|p1ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.400 ns  ; a[4]   ; zports:porty|p1ffd_int[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.400 ns  ; a[4]   ; zports:porty|p1ffd_int[7]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.200 ns  ; a[1]   ; zports:porty|wait_write[2]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.200 ns  ; a[2]   ; zports:porty|p7ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.200 ns  ; a[2]   ; zports:porty|p7ffd_int[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.200 ns  ; a[2]   ; zports:porty|p7ffd_int[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.200 ns  ; a[2]   ; zports:porty|p7ffd_int[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.200 ns  ; a[0]   ; zports:porty|wait_write[2]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[1]   ; zports:porty|gluclock_addr[2] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[1]   ; zports:porty|wait_write[6]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[1]   ; zports:porty|wait_write[7]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[2]   ; zports:porty|p1ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[2]   ; zports:porty|p1ffd_int[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[2]   ; zports:porty|p1ffd_int[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[2]   ; zports:porty|p1ffd_int[7]     ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[6]   ; zports:porty|wait_write[2]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[0]   ; zports:porty|gluclock_addr[2] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[0]   ; zports:porty|wait_write[6]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.100 ns  ; a[0]   ; zports:porty|wait_write[7]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; wr_n   ; dram:dramko|rwe_n             ; fclk     ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[1]   ; zports:porty|gluclock_addr[6] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[1]   ; zports:porty|gluclock_addr[7] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[2]   ; zports:porty|wait_write[2]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[6]   ; zports:porty|gluclock_addr[2] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[6]   ; zports:porty|wait_write[6]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[6]   ; zports:porty|wait_write[7]    ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[0]   ; zports:porty|gluclock_addr[6] ; clkz_in  ;
; N/A                                     ; None                                                ; 20.000 ns  ; a[0]   ; zports:porty|gluclock_addr[7] ; clkz_in  ;
; N/A                                     ; None                                                ; 19.900 ns  ; a[2]   ; zports:porty|gluclock_addr[2] ; clkz_in  ;
; N/A                                     ; None                                                ; 19.900 ns  ; a[2]   ; zports:porty|wait_write[6]    ; clkz_in  ;
; N/A                                     ; None                                                ; 19.900 ns  ; a[2]   ; zports:porty|wait_write[7]    ; clkz_in  ;
; N/A                                     ; None                                                ; 19.900 ns  ; a[6]   ; zports:porty|gluclock_addr[6] ; clkz_in  ;
; N/A                                     ; None                                                ; 19.900 ns  ; a[6]   ; zports:porty|gluclock_addr[7] ; clkz_in  ;
; N/A                                     ; None                                                ; 19.800 ns  ; a[2]   ; zports:porty|gluclock_addr[6] ; clkz_in  ;
; N/A                                     ; None                                                ; 19.800 ns  ; a[2]   ; zports:porty|gluclock_addr[7] ; clkz_in  ;
; N/A                                     ; None                                                ; 19.700 ns  ; rfsh_n ; dram:dramko|rwe_n             ; fclk     ;
; N/A                                     ; None                                                ; 19.700 ns  ; rd_n   ; dram:dramko|rwe_n             ; fclk     ;
; N/A                                     ; None                                                ; 19.700 ns  ; a[15]  ; arbiter:dramarb|vid_rem[0]    ; fclk     ;
; N/A                                     ; None                                                ; 19.200 ns  ; wr_n   ; arbiter:dramarb|vid_rem[0]    ; fclk     ;
; N/A                                     ; None                                                ; 19.100 ns  ; mreq_n ; dram:dramko|rwe_n             ; fclk     ;
; N/A                                     ; None                                                ; 18.900 ns  ; rfsh_n ; arbiter:dramarb|vid_rem[0]    ; fclk     ;
; N/A                                     ; None                                                ; 18.900 ns  ; rd_n   ; arbiter:dramarb|vid_rem[0]    ; fclk     ;
; N/A                                     ; None                                                ; 18.900 ns  ; a[15]  ; arbiter:dramarb|vid_rem[1]    ; fclk     ;
; N/A                                     ; None                                                ; 18.600 ns  ; a[14]  ; dram:dramko|rwe_n             ; fclk     ;
; N/A                                     ; None                                                ; 18.400 ns  ; wr_n   ; arbiter:dramarb|vid_rem[1]    ; fclk     ;
; N/A                                     ; None                                                ; 18.400 ns  ; a[3]   ; zports:porty|wait_write[0]    ; clkz_in  ;
; N/A                                     ; None                                                ; 18.400 ns  ; a[3]   ; zports:porty|wait_write[1]    ; clkz_in  ;
; N/A                                     ; None                                                ; 18.400 ns  ; a[3]   ; zports:porty|wait_write[3]    ; clkz_in  ;
; N/A                                     ; None                                                ; 18.400 ns  ; a[0]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 18.400 ns  ; a[0]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; mreq_n ; arbiter:dramarb|vid_rem[0]    ; fclk     ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|gluclock_addr[0] ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|gluclock_addr[1] ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|gluclock_addr[3] ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|wait_write[4]    ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|gluclock_addr[4] ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|wait_write[5]    ; clkz_in  ;
; N/A                                     ; None                                                ; 18.300 ns  ; a[3]   ; zports:porty|gluclock_addr[5] ; clkz_in  ;
; N/A                                     ; None                                                ; 18.200 ns  ; a[1]   ; zports:porty|p7ffd_int[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; 18.200 ns  ; a[1]   ; zports:porty|p7ffd_int[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; 18.100 ns  ; rfsh_n ; arbiter:dramarb|vid_rem[1]    ; fclk     ;
; N/A                                     ; None                                                ; 18.100 ns  ; rd_n   ; arbiter:dramarb|vid_rem[1]    ; fclk     ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[1]   ; vg93:vgshka|vg_a[1]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[1]   ; zports:porty|sdcs_n           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[1]   ; zports:porty|comport_addr[0]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[1]   ; zports:porty|comport_addr[1]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[0]   ; vg93:vgshka|vg_a[1]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[0]   ; zports:porty|sdcs_n           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[0]   ; zports:porty|comport_addr[0]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[0]   ; zports:porty|comport_addr[1]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.800 ns  ; a[14]  ; arbiter:dramarb|vid_rem[0]    ; fclk     ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[1]   ; vg93:vgshka|vg_a[0]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[1]   ; vg93:vgshka|vg_side           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[1]   ; zports:porty|sd_start_toggle  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[6]   ; vg93:vgshka|vg_a[1]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[6]   ; zports:porty|sdcs_n           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[6]   ; zports:porty|comport_addr[0]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[6]   ; zports:porty|comport_addr[1]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[3]   ; zports:porty|beep             ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[3]   ; zports:porty|border[1]        ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[3]   ; zports:porty|border[2]        ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[3]   ; zports:porty|border[0]        ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[3]   ; zports:porty|wait_write[2]    ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[0]   ; vg93:vgshka|vg_a[0]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[0]   ; vg93:vgshka|vg_side           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.700 ns  ; a[0]   ; zports:porty|sd_start_toggle  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[2]   ; vg93:vgshka|vg_a[1]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[2]   ; zports:porty|sdcs_n           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[2]   ; zports:porty|comport_addr[0]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[2]   ; zports:porty|comport_addr[1]  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[6]   ; vg93:vgshka|vg_a[0]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[6]   ; vg93:vgshka|vg_side           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[6]   ; zports:porty|sd_start_toggle  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[3]   ; zports:porty|gluclock_addr[2] ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[3]   ; zports:porty|wait_write[6]    ; clkz_in  ;
; N/A                                     ; None                                                ; 17.600 ns  ; a[3]   ; zports:porty|wait_write[7]    ; clkz_in  ;
; N/A                                     ; None                                                ; 17.500 ns  ; mreq_n ; arbiter:dramarb|vid_rem[1]    ; fclk     ;
; N/A                                     ; None                                                ; 17.500 ns  ; a[2]   ; vg93:vgshka|vg_a[0]           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.500 ns  ; a[2]   ; vg93:vgshka|vg_side           ; clkz_in  ;
; N/A                                     ; None                                                ; 17.500 ns  ; a[2]   ; zports:porty|sd_start_toggle  ; clkz_in  ;
; N/A                                     ; None                                                ; 17.500 ns  ; a[3]   ; zports:porty|gluclock_addr[6] ; clkz_in  ;
; N/A                                     ; None                                                ; 17.500 ns  ; a[3]   ; zports:porty|gluclock_addr[7] ; clkz_in  ;
; N/A                                     ; None                                                ; 17.500 ns  ; a[0]   ; zports:porty|p1ffd_int[0]     ; clkz_in  ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;            ;        ;                               ;          ;
+-----------------------------------------+-----------------------------------------------------+------------+--------+-------------------------------+----------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; tco                                                                                                                                                                                                                          ;
+-----------------------------------------+-----------------------------------------------------+------------+-----------------------------------------------------------------------------------------+----------+------------+
; Slack                                   ; Required tco                                        ; Actual tco ; From                                                                                    ; To       ; From Clock ;
+-----------------------------------------+-----------------------------------------------------+------------+-----------------------------------------------------------------------------------------+----------+------------+
; N/A                                     ; None                                                ; 33.600 ns  ; zports:porty|peff7_int[7]                                                               ; d[0]     ; clkz_in    ;
; N/A                                     ; None                                                ; 33.000 ns  ; zports:porty|peff7_int[7]                                                               ; d[2]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.300 ns  ; zports:porty|dos                                                                        ; d[3]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.300 ns  ; zports:porty|dos                                                                        ; d[2]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.300 ns  ; zports:porty|dos                                                                        ; d[1]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.300 ns  ; zports:porty|dos                                                                        ; d[0]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.200 ns  ; zports:porty|dos                                                                        ; d[6]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.200 ns  ; zports:porty|dos                                                                        ; d[7]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.200 ns  ; zports:porty|dos                                                                        ; d[5]     ; clkz_in    ;
; N/A                                     ; None                                                ; 31.200 ns  ; zports:porty|dos                                                                        ; d[4]     ; clkz_in    ;
; N/A                                     ; None                                                ; 29.600 ns  ; zkbdmus:zkbdmus|musx[0]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 29.500 ns  ; zkbdmus:zkbdmus|musy[0]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 29.300 ns  ; zports:porty|peff7_int[7]                                                               ; d[1]     ; clkz_in    ;
; N/A                                     ; None                                                ; 29.200 ns  ; zports:porty|peff7_int[7]                                                               ; d[6]     ; clkz_in    ;
; N/A                                     ; None                                                ; 29.100 ns  ; zports:porty|peff7_int[7]                                                               ; d[3]     ; clkz_in    ;
; N/A                                     ; None                                                ; 29.000 ns  ; zports:porty|peff7_int[7]                                                               ; d[5]     ; clkz_in    ;
; N/A                                     ; None                                                ; 29.000 ns  ; zports:porty|peff7_int[7]                                                               ; d[4]     ; clkz_in    ;
; N/A                                     ; None                                                ; 29.000 ns  ; zkbdmus:zkbdmus|kbd[34]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 29.000 ns  ; zkbdmus:zkbdmus|kbd[32]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 28.800 ns  ; zkbdmus:zkbdmus|kbd[16]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 28.700 ns  ; zkbdmus:zkbdmus|musx[2]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 28.600 ns  ; zkbdmus:zkbdmus|musy[2]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 28.400 ns  ; zkbdmus:zkbdmus|kbd[23]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 28.300 ns  ; slavespi:slavespi|wait_reg[0]                                                           ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 28.000 ns  ; zports:porty|peff7_int[7]                                                               ; d[7]     ; clkz_in    ;
; N/A                                     ; None                                                ; 28.000 ns  ; zkbdmus:zkbdmus|kbd[24]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 28.000 ns  ; zkbdmus:zkbdmus|musbtn[0]                                                               ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 27.900 ns  ; zkbdmus:zkbdmus|kbd[39]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 27.900 ns  ; zkbdmus:zkbdmus|kbd[35]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 27.800 ns  ; zkbdmus:zkbdmus|musx[6]                                                                 ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 27.800 ns  ; slavespi:slavespi|wait_reg[2]                                                           ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 27.800 ns  ; zkbdmus:zkbdmus|kbd[37]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 27.700 ns  ; zkbdmus:zkbdmus|musy[6]                                                                 ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 27.500 ns  ; zkbdmus:zkbdmus|musx[5]                                                                 ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 27.400 ns  ; zkbdmus:zkbdmus|musy[5]                                                                 ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 27.400 ns  ; zkbdmus:zkbdmus|kbd[22]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 27.300 ns  ; zkbdmus:zkbdmus|musx[7]                                                                 ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 27.300 ns  ; spi2:zspi|dout[5]                                                                       ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 27.200 ns  ; zkbdmus:zkbdmus|musy[7]                                                                 ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 27.100 ns  ; spi2:zspi|dout[6]                                                                       ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 27.100 ns  ; spi2:zspi|dout[7]                                                                       ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 27.100 ns  ; zkbdmus:zkbdmus|musbtn[2]                                                               ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 27.100 ns  ; zkbdmus:zkbdmus|kbd[18]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 27.000 ns  ; slavespi:slavespi|wait_reg[6]                                                           ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 27.000 ns  ; slavespi:slavespi|cfg0_reg_out[2]                                                       ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 27.000 ns  ; zkbdmus:zkbdmus|kbd[21]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 26.900 ns  ; spi2:zspi|dout[0]                                                                       ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 26.900 ns  ; zkbdmus:zkbdmus|kbd[38]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 26.900 ns  ; zkbdmus:zkbdmus|kbd[36]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 26.800 ns  ; zkbdmus:zkbdmus|kbd[8]                                                                  ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 26.800 ns  ; zkbdmus:zkbdmus|kbd[33]                                                                 ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 26.600 ns  ; zkbdmus:zkbdmus|kbd[29]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 26.300 ns  ; zkbdmus:zkbdmus|kbd[31]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 26.200 ns  ; zkbdmus:zkbdmus|musbtn[6]                                                               ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 26.200 ns  ; zkbdmus:zkbdmus|kbd[26]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 26.100 ns  ; slavespi:slavespi|wait_reg[7]                                                           ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 26.100 ns  ; zkbdmus:zkbdmus|kbd[17]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 26.100 ns  ; zkbdmus:zkbdmus|kbd[20]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 26.000 ns  ; spi2:zspi|dout[2]                                                                       ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 26.000 ns  ; zkbdmus:zkbdmus|kbd[19]                                                                 ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 25.900 ns  ; zkbdmus:zkbdmus|musbtn[5]                                                               ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 25.700 ns  ; zkbdmus:zkbdmus|musbtn[7]                                                               ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 25.700 ns  ; spi2:zspi|dout[1]                                                                       ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.700 ns  ; zkbdmus:zkbdmus|kbd[28]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.600 ns  ; zkbdmus:zkbdmus|kbd[27]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.500 ns  ; zkbdmus:zkbdmus|kbd[13]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 25.500 ns  ; zkbdmus:zkbdmus|musx[1]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.400 ns  ; vg93:vgshka|intrq_sync[1]                                                               ; d[7]     ; clkz_in    ;
; N/A                                     ; None                                                ; 25.400 ns  ; zkbdmus:zkbdmus|musy[1]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.300 ns  ; zkbdmus:zkbdmus|musx[3]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 25.300 ns  ; zkbdmus:zkbdmus|kbd[30]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.300 ns  ; spi2:zspi|dout[4]                                                                       ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 25.300 ns  ; zkbdmus:zkbdmus|musx[4]                                                                 ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 25.200 ns  ; zkbdmus:zkbdmus|musy[3]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 25.200 ns  ; zkbdmus:zkbdmus|kbd[25]                                                                 ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 25.200 ns  ; zkbdmus:zkbdmus|musy[4]                                                                 ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 25.200 ns  ; zkbdmus:zkbdmus|kbd[0]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 25.100 ns  ; zkbdmus:zkbdmus|kbd[5]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 25.000 ns  ; spi2:zspi|dout[3]                                                                       ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 24.900 ns  ; zkbdmus:zkbdmus|kbd[15]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 24.800 ns  ; zkbdmus:zkbdmus|kbd[10]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 24.800 ns  ; zkbdmus:zkbdmus|kbd[7]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 24.800 ns  ; zwait:zwait|waits[0]                                                                    ; wait_n   ; clkz_in    ;
; N/A                                     ; None                                                ; 24.700 ns  ; vg93:vgshka|drq_sync[1]                                                                 ; d[6]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.700 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[3]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.700 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[2]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.700 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[1]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.700 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[0]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.600 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[6]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.600 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[7]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.600 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[5]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.600 ns  ; zkbdmus:zkbdmus|kbd[12]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 24.600 ns  ; zports:porty|p1ffd_int[0]                                                               ; d[4]     ; clkz_in    ;
; N/A                                     ; None                                                ; 24.500 ns  ; zkbdmus:zkbdmus|kbd[11]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 24.300 ns  ; slavespi:slavespi|wait_reg[1]                                                           ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 24.200 ns  ; slavespi:slavespi|wait_reg[5]                                                           ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 24.200 ns  ; slavespi:slavespi|wait_reg[3]                                                           ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 24.200 ns  ; slavespi:slavespi|wait_reg[4]                                                           ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 24.200 ns  ; zkbdmus:zkbdmus|kbd[4]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 24.200 ns  ; zwait:zwait|waits[0]                                                                    ; spiint_n ; clkz_in    ;
; N/A                                     ; None                                                ; 24.100 ns  ; zkbdmus:zkbdmus|kbd[3]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 24.000 ns  ; zkbdmus:zkbdmus|kbd[6]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 23.900 ns  ; zkbdmus:zkbdmus|kbd[14]                                                                 ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 23.900 ns  ; zkbdmus:zkbdmus|musbtn[1]                                                               ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 23.800 ns  ; zkbdmus:zkbdmus|kbd[9]                                                                  ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 23.700 ns  ; zkbdmus:zkbdmus|musbtn[3]                                                               ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 23.700 ns  ; zkbdmus:zkbdmus|musbtn[4]                                                               ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 23.600 ns  ; zkbdmus:zkbdmus|kbd[2]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 23.400 ns  ; syncv:vert_sync|vpix                                                                    ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 23.400 ns  ; synch:horiz_sync|hpix                                                                   ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 23.000 ns  ; zports:porty|idehiin[5]                                                                 ; d[5]     ; clkz_in    ;
; N/A                                     ; None                                                ; 23.000 ns  ; syncv:vert_sync|vpix                                                                    ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 23.000 ns  ; synch:horiz_sync|hpix                                                                   ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 22.800 ns  ; zkbdmus:zkbdmus|kj_data[0]                                                              ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 22.700 ns  ; zports:porty|idehiin[7]                                                                 ; d[7]     ; clkz_in    ;
; N/A                                     ; None                                                ; 22.600 ns  ; zkbdmus:zkbdmus|kbd[1]                                                                  ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 22.100 ns  ; zkbdmus:zkbdmus|kj_data[2]                                                              ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 22.100 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 21.900 ns  ; syncv:vert_sync|vpix                                                                    ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 21.900 ns  ; synch:horiz_sync|hpix                                                                   ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 21.600 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 21.600 ns  ; zports:porty|idehiin[1]                                                                 ; d[1]     ; clkz_in    ;
; N/A                                     ; None                                                ; 21.500 ns  ; pfpzu:profrom|plane[1]                                                                  ; rompg3   ; mreq_n     ;
; N/A                                     ; None                                                ; 21.500 ns  ; pfpzu:profrom|plane[0]                                                                  ; rompg2   ; mreq_n     ;
; N/A                                     ; None                                                ; 21.400 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 21.400 ns  ; zports:porty|idehiin[3]                                                                 ; d[3]     ; clkz_in    ;
; N/A                                     ; None                                                ; 21.400 ns  ; zports:porty|nmi_out                                                                    ; nmi_n    ; m1_n       ;
; N/A                                     ; None                                                ; 21.000 ns  ; zports:porty|dos                                                                        ; vg_cs_n  ; clkz_in    ;
; N/A                                     ; None                                                ; 20.500 ns  ; zports:porty|dos                                                                        ; iorq2_n  ; clkz_in    ;
; N/A                                     ; None                                                ; 20.500 ns  ; zports:porty|dos                                                                        ; iorq1_n  ; clkz_in    ;
; N/A                                     ; None                                                ; 20.300 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 20.200 ns  ; fetch:fecher|shift[3][3]                                                                ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 20.200 ns  ; fetch:fecher|shift[2][3]                                                                ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 20.200 ns  ; fetch:fecher|shift[2][1]                                                                ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 20.100 ns  ; syncv:vert_sync|vpix                                                                    ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 20.100 ns  ; synch:horiz_sync|hpix                                                                   ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 20.000 ns  ; zkbdmus:zkbdmus|kj_data[1]                                                              ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 19.900 ns  ; zports:porty|idehiin[6]                                                                 ; d[6]     ; clkz_in    ;
; N/A                                     ; None                                                ; 19.800 ns  ; syncv:vert_sync|vpix                                                                    ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 19.800 ns  ; synch:horiz_sync|hpix                                                                   ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 19.800 ns  ; fetch:fecher|shift[2][4]                                                                ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 19.700 ns  ; zkbdmus:zkbdmus|kj_data[3]                                                              ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 19.700 ns  ; fetch:fecher|shift[3][4]                                                                ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 19.700 ns  ; zports:porty|idehiin[0]                                                                 ; d[0]     ; clkz_in    ;
; N/A                                     ; None                                                ; 19.600 ns  ; zports:porty|idehiin[2]                                                                 ; d[2]     ; clkz_in    ;
; N/A                                     ; None                                                ; 19.600 ns  ; zwait:zwait|waits[1]                                                                    ; wait_n   ; clkz_in    ;
; N/A                                     ; None                                                ; 19.500 ns  ; fetch:fecher|shift[3][1]                                                                ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 19.500 ns  ; zports:porty|idehiin[4]                                                                 ; d[4]     ; clkz_in    ;
; N/A                                     ; None                                                ; 19.400 ns  ; fetch:fecher|shift[2][6]                                                                ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 19.300 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 19.300 ns  ; fetch:fecher|shift[3][6]                                                                ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 19.200 ns  ; fetch:fecher|shift[2][7]                                                                ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 19.100 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 19.000 ns  ; zwait:zwait|waits[1]                                                                    ; spiint_n ; clkz_in    ;
; N/A                                     ; None                                                ; 18.700 ns  ; zkbdmus:zkbdmus|kj_data[4]                                                              ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 18.700 ns  ; zports:porty|nmi_out                                                                    ; nmi_n    ; mreq_n     ;
; N/A                                     ; None                                                ; 18.500 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 18.400 ns  ; syncv:vert_sync|vpix                                                                    ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 18.400 ns  ; synch:horiz_sync|hpix                                                                   ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 18.300 ns  ; syncv:vert_sync|vpix                                                                    ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 18.300 ns  ; synch:horiz_sync|hpix                                                                   ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 18.200 ns  ; fetch:fecher|shift[3][7]                                                                ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 18.200 ns  ; syncv:vert_sync|vpix                                                                    ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 18.200 ns  ; synch:horiz_sync|hpix                                                                   ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 18.000 ns  ; fetch:fecher|shift[2][5]                                                                ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[8]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[11]   ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[3]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[0]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[13]   ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[10]   ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[5]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[2]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[14]   ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[6]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[9]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[12]   ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[7]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[15]   ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[4]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; dram:dramko|rwe_n                                                                       ; rd[1]    ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; fetch:fecher|shift[3][5]                                                                ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 17.900 ns  ; fetch:fecher|lpm_counter:pixnumber_rtl_2|alt_counter_f10ke:wysi_counter|counter_cell[3] ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 17.400 ns  ; fetch:fecher|shift[2][0]                                                                ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 17.300 ns  ; zports:porty|turbo                                                                      ; ide_rs_n ; clkz_in    ;
; N/A                                     ; None                                                ; 17.100 ns  ; fetch:fecher|shift[3][0]                                                                ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 17.000 ns  ; fetch:fecher|shift[3][2]                                                                ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 17.000 ns  ; fetch:fecher|shift[2][2]                                                                ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 16.900 ns  ; pfpzu:profrom|plane[1]                                                                  ; rompg3   ; clkz_in    ;
; N/A                                     ; None                                                ; 16.900 ns  ; pfpzu:profrom|plane[0]                                                                  ; rompg2   ; clkz_in    ;
; N/A                                     ; None                                                ; 16.500 ns  ; zmem:z80mem|zd_out[7]                                                                   ; d[7]     ; fclk       ;
; N/A                                     ; None                                                ; 16.500 ns  ; zmem:z80mem|zd_out[3]                                                                   ; d[3]     ; fclk       ;
; N/A                                     ; None                                                ; 16.500 ns  ; zmem:z80mem|zd_out[2]                                                                   ; d[2]     ; fclk       ;
; N/A                                     ; None                                                ; 16.500 ns  ; zmem:z80mem|zd_out[0]                                                                   ; d[0]     ; fclk       ;
; N/A                                     ; None                                                ; 16.400 ns  ; zmem:z80mem|zd_out[6]                                                                   ; d[6]     ; fclk       ;
; N/A                                     ; None                                                ; 16.400 ns  ; zmem:z80mem|zd_out[1]                                                                   ; d[1]     ; fclk       ;
; N/A                                     ; None                                                ; 16.400 ns  ; zmem:z80mem|zd_out[4]                                                                   ; d[4]     ; fclk       ;
; N/A                                     ; None                                                ; 16.300 ns  ; zmem:z80mem|zd_out[5]                                                                   ; d[5]     ; fclk       ;
; N/A                                     ; None                                                ; 15.400 ns  ; zports:porty|p7ffd_int[4]                                                               ; rompg0_n ; clkz_in    ;
; N/A                                     ; None                                                ; 15.400 ns  ; zports:porty|p1ffd_int[1]                                                               ; rompg0_n ; clkz_in    ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;            ;                                                                                         ;          ;            ;
+-----------------------------------------+-----------------------------------------------------+------------+-----------------------------------------------------------------------------------------+----------+------------+


+----------------------------------------------------------------------------------------------------------------------------------------+
; tpd                                                                                                                                    ;
+-----------------------------------------+-----------------------------------------------------+-----------------+----------+-----------+
; Slack                                   ; Required P2P Time                                   ; Actual P2P Time ; From     ; To        ;
+-----------------------------------------+-----------------------------------------------------+-----------------+----------+-----------+
; N/A                                     ; None                                                ; 48.400 ns       ; a[0]     ; d[3]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[1]     ; d[3]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[0]     ; d[2]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[1]     ; d[2]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[0]     ; d[1]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[1]     ; d[1]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[0]     ; d[0]      ;
; N/A                                     ; None                                                ; 48.400 ns       ; a[1]     ; d[0]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[0]     ; d[6]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[1]     ; d[6]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[0]     ; d[7]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[1]     ; d[7]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[0]     ; d[5]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[1]     ; d[5]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[6]     ; d[3]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[6]     ; d[2]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[6]     ; d[1]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[0]     ; d[4]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[1]     ; d[4]      ;
; N/A                                     ; None                                                ; 48.300 ns       ; a[6]     ; d[0]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[6]     ; d[6]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[6]     ; d[7]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[6]     ; d[5]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[2]     ; d[3]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[2]     ; d[2]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[2]     ; d[1]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[6]     ; d[4]      ;
; N/A                                     ; None                                                ; 48.200 ns       ; a[2]     ; d[0]      ;
; N/A                                     ; None                                                ; 48.100 ns       ; a[2]     ; d[6]      ;
; N/A                                     ; None                                                ; 48.100 ns       ; a[2]     ; d[7]      ;
; N/A                                     ; None                                                ; 48.100 ns       ; a[2]     ; d[5]      ;
; N/A                                     ; None                                                ; 48.100 ns       ; a[2]     ; d[4]      ;
; N/A                                     ; None                                                ; 47.600 ns       ; a[3]     ; d[3]      ;
; N/A                                     ; None                                                ; 47.600 ns       ; a[3]     ; d[2]      ;
; N/A                                     ; None                                                ; 47.600 ns       ; a[3]     ; d[1]      ;
; N/A                                     ; None                                                ; 47.600 ns       ; a[3]     ; d[0]      ;
; N/A                                     ; None                                                ; 47.500 ns       ; a[3]     ; d[6]      ;
; N/A                                     ; None                                                ; 47.500 ns       ; a[3]     ; d[7]      ;
; N/A                                     ; None                                                ; 47.500 ns       ; a[3]     ; d[5]      ;
; N/A                                     ; None                                                ; 47.500 ns       ; a[3]     ; d[4]      ;
; N/A                                     ; None                                                ; 46.200 ns       ; a[7]     ; d[3]      ;
; N/A                                     ; None                                                ; 46.200 ns       ; a[7]     ; d[2]      ;
; N/A                                     ; None                                                ; 46.200 ns       ; a[7]     ; d[1]      ;
; N/A                                     ; None                                                ; 46.200 ns       ; a[7]     ; d[0]      ;
; N/A                                     ; None                                                ; 46.100 ns       ; a[7]     ; d[6]      ;
; N/A                                     ; None                                                ; 46.100 ns       ; a[7]     ; d[7]      ;
; N/A                                     ; None                                                ; 46.100 ns       ; a[7]     ; d[5]      ;
; N/A                                     ; None                                                ; 46.100 ns       ; a[7]     ; d[4]      ;
; N/A                                     ; None                                                ; 45.400 ns       ; a[5]     ; d[3]      ;
; N/A                                     ; None                                                ; 45.400 ns       ; a[5]     ; d[2]      ;
; N/A                                     ; None                                                ; 45.400 ns       ; a[5]     ; d[1]      ;
; N/A                                     ; None                                                ; 45.400 ns       ; a[5]     ; d[0]      ;
; N/A                                     ; None                                                ; 45.300 ns       ; a[5]     ; d[6]      ;
; N/A                                     ; None                                                ; 45.300 ns       ; a[5]     ; d[7]      ;
; N/A                                     ; None                                                ; 45.300 ns       ; a[5]     ; d[5]      ;
; N/A                                     ; None                                                ; 45.300 ns       ; a[5]     ; d[4]      ;
; N/A                                     ; None                                                ; 44.000 ns       ; a[4]     ; d[3]      ;
; N/A                                     ; None                                                ; 44.000 ns       ; a[4]     ; d[2]      ;
; N/A                                     ; None                                                ; 44.000 ns       ; a[4]     ; d[1]      ;
; N/A                                     ; None                                                ; 44.000 ns       ; a[4]     ; d[0]      ;
; N/A                                     ; None                                                ; 43.900 ns       ; a[4]     ; d[6]      ;
; N/A                                     ; None                                                ; 43.900 ns       ; a[4]     ; d[7]      ;
; N/A                                     ; None                                                ; 43.900 ns       ; a[4]     ; d[5]      ;
; N/A                                     ; None                                                ; 43.900 ns       ; a[4]     ; d[4]      ;
; N/A                                     ; None                                                ; 37.600 ns       ; a[0]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 37.600 ns       ; a[1]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 37.600 ns       ; a[0]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 37.600 ns       ; a[1]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 37.500 ns       ; a[6]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 37.500 ns       ; a[6]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 37.400 ns       ; a[2]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 37.400 ns       ; a[2]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 36.800 ns       ; a[3]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 36.800 ns       ; a[3]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 35.400 ns       ; a[7]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 35.400 ns       ; a[7]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 34.700 ns       ; a[14]    ; d[0]      ;
; N/A                                     ; None                                                ; 34.600 ns       ; a[5]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 34.600 ns       ; a[5]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 34.100 ns       ; a[14]    ; d[2]      ;
; N/A                                     ; None                                                ; 33.200 ns       ; a[4]     ; iorq2_n   ;
; N/A                                     ; None                                                ; 33.200 ns       ; a[4]     ; iorq1_n   ;
; N/A                                     ; None                                                ; 32.900 ns       ; a[10]    ; d[0]      ;
; N/A                                     ; None                                                ; 32.500 ns       ; a[13]    ; d[0]      ;
; N/A                                     ; None                                                ; 32.300 ns       ; a[10]    ; d[2]      ;
; N/A                                     ; None                                                ; 32.300 ns       ; a[0]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 32.300 ns       ; a[1]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 32.200 ns       ; a[15]    ; d[0]      ;
; N/A                                     ; None                                                ; 32.200 ns       ; a[6]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 32.100 ns       ; a[2]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 32.000 ns       ; a[13]    ; d[2]      ;
; N/A                                     ; None                                                ; 32.000 ns       ; a[8]     ; d[0]      ;
; N/A                                     ; None                                                ; 31.500 ns       ; a[15]    ; d[2]      ;
; N/A                                     ; None                                                ; 31.300 ns       ; a[13]    ; d[1]      ;
; N/A                                     ; None                                                ; 31.300 ns       ; a[11]    ; d[0]      ;
; N/A                                     ; None                                                ; 31.300 ns       ; a[9]     ; d[0]      ;
; N/A                                     ; None                                                ; 31.300 ns       ; a[3]     ; ay_bc1    ;
; N/A                                     ; None                                                ; 31.200 ns       ; a[12]    ; d[0]      ;
; N/A                                     ; None                                                ; 31.100 ns       ; a[10]    ; d[6]      ;
; N/A                                     ; None                                                ; 31.100 ns       ; a[10]    ; d[5]      ;
; N/A                                     ; None                                                ; 31.100 ns       ; a[8]     ; d[2]      ;
; N/A                                     ; None                                                ; 30.900 ns       ; a[10]    ; d[7]      ;
; N/A                                     ; None                                                ; 30.800 ns       ; a[8]     ; d[1]      ;
; N/A                                     ; None                                                ; 30.700 ns       ; a[10]    ; d[1]      ;
; N/A                                     ; None                                                ; 30.600 ns       ; a[9]     ; d[2]      ;
; N/A                                     ; None                                                ; 30.500 ns       ; a[12]    ; d[2]      ;
; N/A                                     ; None                                                ; 30.500 ns       ; a[15]    ; d[1]      ;
; N/A                                     ; None                                                ; 30.400 ns       ; a[14]    ; d[1]      ;
; N/A                                     ; None                                                ; 30.300 ns       ; a[11]    ; d[2]      ;
; N/A                                     ; None                                                ; 30.300 ns       ; a[11]    ; d[1]      ;
; N/A                                     ; None                                                ; 30.200 ns       ; a[14]    ; d[6]      ;
; N/A                                     ; None                                                ; 30.200 ns       ; a[14]    ; d[3]      ;
; N/A                                     ; None                                                ; 30.200 ns       ; a[12]    ; d[1]      ;
; N/A                                     ; None                                                ; 30.100 ns       ; a[14]    ; d[5]      ;
; N/A                                     ; None                                                ; 30.100 ns       ; a[14]    ; d[4]      ;
; N/A                                     ; None                                                ; 30.000 ns       ; a[13]    ; d[3]      ;
; N/A                                     ; None                                                ; 30.000 ns       ; a[9]     ; d[1]      ;
; N/A                                     ; None                                                ; 29.900 ns       ; a[7]     ; ay_bc1    ;
; N/A                                     ; None                                                ; 29.700 ns       ; a[8]     ; d[3]      ;
; N/A                                     ; None                                                ; 29.700 ns       ; a[3]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 29.700 ns       ; a[3]     ; ay_bdir   ;
; N/A                                     ; None                                                ; 29.600 ns       ; a[8]     ; d[6]      ;
; N/A                                     ; None                                                ; 29.600 ns       ; a[8]     ; d[5]      ;
; N/A                                     ; None                                                ; 29.500 ns       ; a[13]    ; d[4]      ;
; N/A                                     ; None                                                ; 29.500 ns       ; a[6]     ; ay_bc1    ;
; N/A                                     ; None                                                ; 29.400 ns       ; a[8]     ; d[7]      ;
; N/A                                     ; None                                                ; 29.400 ns       ; a[4]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 29.300 ns       ; a[3]     ; ide_d[7]  ;
; N/A                                     ; None                                                ; 29.300 ns       ; a[3]     ; ide_d[6]  ;
; N/A                                     ; None                                                ; 29.300 ns       ; a[3]     ; ide_d[9]  ;
; N/A                                     ; None                                                ; 29.300 ns       ; a[3]     ; ide_d[8]  ;
; N/A                                     ; None                                                ; 29.300 ns       ; a[8]     ; d[4]      ;
; N/A                                     ; None                                                ; 29.200 ns       ; rd_n     ; d[3]      ;
; N/A                                     ; None                                                ; 29.200 ns       ; rd_n     ; d[2]      ;
; N/A                                     ; None                                                ; 29.200 ns       ; rd_n     ; d[1]      ;
; N/A                                     ; None                                                ; 29.200 ns       ; rd_n     ; d[0]      ;
; N/A                                     ; None                                                ; 29.100 ns       ; rd_n     ; d[6]      ;
; N/A                                     ; None                                                ; 29.100 ns       ; rd_n     ; d[7]      ;
; N/A                                     ; None                                                ; 29.100 ns       ; rd_n     ; d[5]      ;
; N/A                                     ; None                                                ; 29.100 ns       ; rd_n     ; d[4]      ;
; N/A                                     ; None                                                ; 29.100 ns       ; a[5]     ; ay_bc1    ;
; N/A                                     ; None                                                ; 29.000 ns       ; a[15]    ; d[3]      ;
; N/A                                     ; None                                                ; 28.700 ns       ; a[0]     ; ide_d[7]  ;
; N/A                                     ; None                                                ; 28.700 ns       ; a[0]     ; ide_d[6]  ;
; N/A                                     ; None                                                ; 28.700 ns       ; a[0]     ; ide_d[9]  ;
; N/A                                     ; None                                                ; 28.700 ns       ; a[0]     ; ide_d[8]  ;
; N/A                                     ; None                                                ; 28.700 ns       ; a[14]    ; d[7]      ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[2]     ; ide_d[7]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[1]     ; ide_d[7]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[2]     ; ide_d[6]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[1]     ; ide_d[6]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[2]     ; ide_d[9]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[1]     ; ide_d[9]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[2]     ; ide_d[8]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; a[1]     ; ide_d[8]  ;
; N/A                                     ; None                                                ; 28.600 ns       ; iorq_n   ; d[3]      ;
; N/A                                     ; None                                                ; 28.600 ns       ; iorq_n   ; d[2]      ;
; N/A                                     ; None                                                ; 28.600 ns       ; iorq_n   ; d[1]      ;
; N/A                                     ; None                                                ; 28.600 ns       ; iorq_n   ; d[0]      ;
; N/A                                     ; None                                                ; 28.500 ns       ; iorq_n   ; d[6]      ;
; N/A                                     ; None                                                ; 28.500 ns       ; iorq_n   ; d[7]      ;
; N/A                                     ; None                                                ; 28.500 ns       ; iorq_n   ; d[5]      ;
; N/A                                     ; None                                                ; 28.500 ns       ; iorq_n   ; d[4]      ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[3]     ; ide_d[5]  ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[3]     ; ide_d[12] ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[3]     ; ide_d[4]  ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[3]     ; ide_d[11] ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[3]     ; ide_d[3]  ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[3]     ; ide_d[10] ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[15]    ; d[6]      ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[15]    ; d[7]      ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[15]    ; d[5]      ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[15]    ; d[4]      ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[7]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 28.300 ns       ; a[7]     ; ay_bdir   ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[3]     ; ide_d[15] ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[3]     ; ide_d[14] ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[3]     ; ide_d[13] ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[3]     ; ide_d[2]  ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[3]     ; ide_d[1]  ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[3]     ; ide_d[0]  ;
; N/A                                     ; None                                                ; 28.200 ns       ; a[5]     ; vg_cs_n   ;
; N/A                                     ; None                                                ; 27.900 ns       ; a[7]     ; ide_d[7]  ;
; N/A                                     ; None                                                ; 27.900 ns       ; a[7]     ; ide_d[6]  ;
; N/A                                     ; None                                                ; 27.900 ns       ; a[7]     ; ide_d[9]  ;
; N/A                                     ; None                                                ; 27.900 ns       ; a[7]     ; ide_d[8]  ;
; N/A                                     ; None                                                ; 27.900 ns       ; a[6]     ; ay_bdir   ;
; N/A                                     ; None                                                ; 27.800 ns       ; a[5]     ; ide_d[7]  ;
; N/A                                     ; None                                                ; 27.800 ns       ; a[5]     ; ide_d[6]  ;
; N/A                                     ; None                                                ; 27.800 ns       ; a[5]     ; ide_d[9]  ;
; N/A                                     ; None                                                ; 27.800 ns       ; a[5]     ; ide_d[8]  ;
; N/A                                     ; None                                                ; 27.800 ns       ; ide_d[5] ; d[5]      ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[0]     ; ide_d[5]  ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[0]     ; ide_d[12] ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[0]     ; ide_d[4]  ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[0]     ; ide_d[11] ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[0]     ; ide_d[3]  ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[0]     ; ide_d[10] ;
; N/A                                     ; None                                                ; 27.700 ns       ; a[3]     ; ide_dir   ;
; N/A                                     ; None                                                ; 27.600 ns       ; a[0]     ; ide_d[15] ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                 ;          ;           ;
+-----------------------------------------+-----------------------------------------------------+-----------------+----------+-----------+


+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; th                                                                                                                                                                   ;
+-----------------------------------------+-----------------------------------------------------+-----------+-----------+-----------------------------------+----------+
; Minimum Slack                           ; Required th                                         ; Actual th ; From      ; To                                ; To Clock ;
+-----------------------------------------+-----------------------------------------------------+-----------+-----------+-----------------------------------+----------+
; N/A                                     ; None                                                ; 6.000 ns  ; a[14]     ; zports:porty|nmi_out              ; m1_n     ;
; N/A                                     ; None                                                ; 5.600 ns  ; a[2]      ; pfpzu:profrom|newplane[0]         ; mreq_n   ;
; N/A                                     ; None                                                ; 5.600 ns  ; a[2]      ; pfpzu:profrom|newplane[1]         ; mreq_n   ;
; N/A                                     ; None                                                ; 5.500 ns  ; a[3]      ; pfpzu:profrom|newplane[1]         ; mreq_n   ;
; N/A                                     ; None                                                ; 5.400 ns  ; a[3]      ; pfpzu:profrom|newplane[0]         ; mreq_n   ;
; N/A                                     ; None                                                ; 4.300 ns  ; a[15]     ; zports:porty|nmi_out              ; m1_n     ;
; N/A                                     ; None                                                ; 3.300 ns  ; a[14]     ; zports:porty|nmi_out              ; mreq_n   ;
; N/A                                     ; None                                                ; 2.300 ns  ; mreq_n    ; zports:porty|nmi_out              ; m1_n     ;
; N/A                                     ; None                                                ; 2.200 ns  ; a[4]      ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; 2.200 ns  ; a[4]      ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; 2.100 ns  ; a[14]     ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; 2.100 ns  ; a[14]     ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; 1.600 ns  ; a[15]     ; zports:porty|nmi_out              ; mreq_n   ;
; N/A                                     ; None                                                ; 1.000 ns  ; a[2]      ; pfpzu:profrom|newplane[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; 1.000 ns  ; a[2]      ; pfpzu:profrom|newplane[1]         ; clkz_in  ;
; N/A                                     ; None                                                ; 0.900 ns  ; a[3]      ; pfpzu:profrom|newplane[1]         ; clkz_in  ;
; N/A                                     ; None                                                ; 0.800 ns  ; a[3]      ; pfpzu:profrom|newplane[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; 0.400 ns  ; a[15]     ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; 0.400 ns  ; a[15]     ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; 0.100 ns  ; a[15]     ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; 0.000 ns  ; a[9]      ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -0.300 ns ; rd_n      ; zports:porty|nmi_out              ; m1_n     ;
; N/A                                     ; None                                                ; -0.400 ns ; mreq_n    ; zports:porty|nmi_out              ; mreq_n   ;
; N/A                                     ; None                                                ; -0.600 ns ; spick     ; slavespi:slavespi|spick_sync[0]   ; fclk     ;
; N/A                                     ; None                                                ; -0.600 ns ; spics_n   ; slavespi:slavespi|spics_n_sync[0] ; fclk     ;
; N/A                                     ; None                                                ; -0.800 ns ; a[10]     ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -0.800 ns ; a[10]     ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -0.900 ns ; a[9]      ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -0.900 ns ; a[9]      ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -1.000 ns ; a[13]     ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -1.700 ns ; a[14]     ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -1.800 ns ; a[5]      ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -1.800 ns ; a[5]      ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -1.900 ns ; a[6]      ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -1.900 ns ; a[6]      ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.000 ns ; rd[1]     ; dram:dramko|rddata[1]             ; fclk     ;
; N/A                                     ; None                                                ; -2.000 ns ; a[7]      ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.000 ns ; a[7]      ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.100 ns ; ide_d[8]  ; zports:porty|idehiin[0]           ; clkz_in  ;
; N/A                                     ; None                                                ; -2.100 ns ; rd[11]    ; dram:dramko|rddata[11]            ; fclk     ;
; N/A                                     ; None                                                ; -2.100 ns ; a[8]      ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.100 ns ; a[8]      ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.200 ns ; rd[6]     ; dram:dramko|rddata[6]             ; fclk     ;
; N/A                                     ; None                                                ; -2.200 ns ; d[3]      ; vg93:vgshka|vg_hrdy               ; clkz_in  ;
; N/A                                     ; None                                                ; -2.300 ns ; step      ; vg93:vgshka|step_pulse[0]         ; fclk     ;
; N/A                                     ; None                                                ; -2.300 ns ; rd[7]     ; dram:dramko|rddata[7]             ; fclk     ;
; N/A                                     ; None                                                ; -2.300 ns ; rd[4]     ; dram:dramko|rddata[4]             ; fclk     ;
; N/A                                     ; None                                                ; -2.400 ns ; rd[14]    ; dram:dramko|rddata[14]            ; fclk     ;
; N/A                                     ; None                                                ; -2.400 ns ; rd[9]     ; dram:dramko|rddata[9]             ; fclk     ;
; N/A                                     ; None                                                ; -2.400 ns ; a[4]      ; pfpzu:profrom|plane[0]            ; clkz_in  ;
; N/A                                     ; None                                                ; -2.400 ns ; a[4]      ; pfpzu:profrom|plane[1]            ; clkz_in  ;
; N/A                                     ; None                                                ; -2.400 ns ; a[12]     ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.400 ns ; a[12]     ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.400 ns ; d[4]      ; dram:dramko|int_wrdata[4]         ; fclk     ;
; N/A                                     ; None                                                ; -2.500 ns ; vg_tr43   ; vg93:vgshka|tr43_sync[0]          ; fclk     ;
; N/A                                     ; None                                                ; -2.500 ns ; rd[10]    ; dram:dramko|rddata[10]            ; fclk     ;
; N/A                                     ; None                                                ; -2.500 ns ; a[11]     ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.500 ns ; a[11]     ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.500 ns ; a[14]     ; pfpzu:profrom|plane[0]            ; clkz_in  ;
; N/A                                     ; None                                                ; -2.500 ns ; a[14]     ; pfpzu:profrom|plane[1]            ; clkz_in  ;
; N/A                                     ; None                                                ; -2.600 ns ; ide_d[11] ; zports:porty|idehiin[3]           ; clkz_in  ;
; N/A                                     ; None                                                ; -2.600 ns ; ide_d[10] ; zports:porty|idehiin[2]           ; clkz_in  ;
; N/A                                     ; None                                                ; -2.600 ns ; ide_d[9]  ; zports:porty|idehiin[1]           ; clkz_in  ;
; N/A                                     ; None                                                ; -2.600 ns ; rd[5]     ; dram:dramko|rddata[5]             ; fclk     ;
; N/A                                     ; None                                                ; -2.600 ns ; a[13]     ; pfpzu:profrom|plane[0]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.600 ns ; a[13]     ; pfpzu:profrom|plane[1]            ; mreq_n   ;
; N/A                                     ; None                                                ; -2.600 ns ; d[4]      ; vg93:vgshka|vg_side               ; clkz_in  ;
; N/A                                     ; None                                                ; -2.700 ns ; vg_drq    ; vg93:vgshka|drq_pulse[0]          ; fclk     ;
; N/A                                     ; None                                                ; -2.700 ns ; rd[8]     ; dram:dramko|rddata[8]             ; fclk     ;
; N/A                                     ; None                                                ; -2.700 ns ; rd[3]     ; dram:dramko|rddata[3]             ; fclk     ;
; N/A                                     ; None                                                ; -2.700 ns ; rd[15]    ; dram:dramko|rddata[15]            ; fclk     ;
; N/A                                     ; None                                                ; -2.800 ns ; rd[0]     ; dram:dramko|rddata[0]             ; fclk     ;
; N/A                                     ; None                                                ; -2.800 ns ; rd[2]     ; dram:dramko|rddata[2]             ; fclk     ;
; N/A                                     ; None                                                ; -2.800 ns ; d[1]      ; zports:porty|ideout[9]            ; clkz_in  ;
; N/A                                     ; None                                                ; -2.900 ns ; a[0]      ; zmem:z80mem|zd_out[3]             ; fclk     ;
; N/A                                     ; None                                                ; -3.000 ns ; rd_n      ; zports:porty|nmi_out              ; mreq_n   ;
; N/A                                     ; None                                                ; -3.100 ns ; vg_irq    ; vg93:vgshka|intrq_sync[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; -3.100 ns ; ide_d[12] ; zports:porty|idehiin[4]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.100 ns ; a[0]      ; zmem:z80mem|zd_out[4]             ; fclk     ;
; N/A                                     ; None                                                ; -3.100 ns ; a[0]      ; zmem:z80mem|zd_out[5]             ; fclk     ;
; N/A                                     ; None                                                ; -3.100 ns ; a[0]      ; zmem:z80mem|zd_out[6]             ; fclk     ;
; N/A                                     ; None                                                ; -3.200 ns ; vg_wd     ; vg93:vgshka|wd_sync[0]            ; fclk     ;
; N/A                                     ; None                                                ; -3.200 ns ; ide_d[15] ; zports:porty|idehiin[7]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.200 ns ; ide_d[14] ; zports:porty|idehiin[6]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.200 ns ; ide_d[13] ; zports:porty|idehiin[5]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.200 ns ; a[0]      ; zmem:z80mem|zd_out[0]             ; fclk     ;
; N/A                                     ; None                                                ; -3.300 ns ; sddi      ; spi2:zspi|dout[0]                 ; fclk     ;
; N/A                                     ; None                                                ; -3.300 ns ; sddi      ; spi2:zspi|shiftin[0]              ; fclk     ;
; N/A                                     ; None                                                ; -3.300 ns ; rd[12]    ; dram:dramko|rddata[12]            ; fclk     ;
; N/A                                     ; None                                                ; -3.300 ns ; d[6]      ; zports:porty|ideout[14]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.300 ns ; rdat_b_n  ; vg93:vgshka|rawr_sr[0]            ; fclk     ;
; N/A                                     ; None                                                ; -3.400 ns ; vg_drq    ; vg93:vgshka|drq_sync[0]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.400 ns ; rd[13]    ; dram:dramko|rddata[13]            ; fclk     ;
; N/A                                     ; None                                                ; -3.400 ns ; d[7]      ; zports:porty|ideout[15]           ; clkz_in  ;
; N/A                                     ; None                                                ; -3.400 ns ; a[8]      ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -3.400 ns ; a[0]      ; zmem:z80mem|zd_out[1]             ; fclk     ;
; N/A                                     ; None                                                ; -3.400 ns ; d[4]      ; zports:porty|wait_write[4]        ; clkz_in  ;
; N/A                                     ; None                                                ; -3.400 ns ; d[4]      ; zports:porty|gluclock_addr[4]     ; clkz_in  ;
; N/A                                     ; None                                                ; -3.500 ns ; spido     ; slavespi:slavespi|spido_sync[0]   ; fclk     ;
; N/A                                     ; None                                                ; -3.500 ns ; d[3]      ; zports:porty|wait_write[3]        ; clkz_in  ;
; N/A                                     ; None                                                ; -3.500 ns ; d[3]      ; zports:porty|gluclock_addr[3]     ; clkz_in  ;
; N/A                                     ; None                                                ; -3.500 ns ; a[12]     ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -3.600 ns ; a[11]     ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -3.700 ns ; a[10]     ; zports:porty|turbo                ; clkz_in  ;
; N/A                                     ; None                                                ; -3.900 ns ; a[0]      ; zmem:z80mem|zd_out[2]             ; fclk     ;
; N/A                                     ; None                                                ; -4.100 ns ; vg_sr     ; vg93:vgshka|sr_sync[0]            ; fclk     ;
; N/A                                     ; None                                                ; -4.200 ns ; a[13]     ; zports:porty|p7ffd_int[4]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[13]     ; zports:porty|p7ffd_int[5]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[13]     ; zports:porty|p7ffd_int[3]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[13]     ; zports:porty|p7ffd_int[2]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[15]     ; pfpzu:profrom|plane[0]            ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[15]     ; pfpzu:profrom|plane[1]            ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[14]     ; zports:porty|p7ffd_int[4]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[14]     ; zports:porty|p7ffd_int[5]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[14]     ; zports:porty|p7ffd_int[3]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.200 ns ; a[14]     ; zports:porty|p7ffd_int[2]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.300 ns ; d[5]      ; dram:dramko|int_wrdata[13]        ; fclk     ;
; N/A                                     ; None                                                ; -4.400 ns ; d[5]      ; zports:porty|p7ffd_int[5]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; d[5]      ; dram:dramko|int_wrdata[5]         ; fclk     ;
; N/A                                     ; None                                                ; -4.400 ns ; d[3]      ; dram:dramko|int_wrdata[3]         ; fclk     ;
; N/A                                     ; None                                                ; -4.400 ns ; a[13]     ; zports:porty|p1ffd_int[1]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; a[13]     ; zports:porty|p1ffd_int[4]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; a[13]     ; zports:porty|p1ffd_int[6]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; a[13]     ; zports:porty|p1ffd_int[7]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; d[1]      ; dram:dramko|int_wrdata[1]         ; fclk     ;
; N/A                                     ; None                                                ; -4.400 ns ; d[1]      ; dram:dramko|int_wrdata[9]         ; fclk     ;
; N/A                                     ; None                                                ; -4.400 ns ; a[14]     ; zports:porty|p1ffd_int[1]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; a[14]     ; zports:porty|p1ffd_int[4]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; a[14]     ; zports:porty|p1ffd_int[6]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.400 ns ; a[14]     ; zports:porty|p1ffd_int[7]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.500 ns ; d[3]      ; zports:porty|p7ffd_int[3]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.500 ns ; d[3]      ; dram:dramko|int_wrdata[11]        ; fclk     ;
; N/A                                     ; None                                                ; -4.600 ns ; d[1]      ; vg93:vgshka|vg_a[1]               ; clkz_in  ;
; N/A                                     ; None                                                ; -4.600 ns ; d[1]      ; zports:porty|border[1]            ; clkz_in  ;
; N/A                                     ; None                                                ; -4.600 ns ; d[4]      ; zports:porty|p7ffd_int[4]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.600 ns ; d[4]      ; dram:dramko|int_wrdata[12]        ; fclk     ;
; N/A                                     ; None                                                ; -4.700 ns ; a[10]     ; zports:porty|comport_addr[2]      ; clkz_in  ;
; N/A                                     ; None                                                ; -4.700 ns ; d[4]      ; zports:porty|beep                 ; clkz_in  ;
; N/A                                     ; None                                                ; -4.700 ns ; d[4]      ; zports:porty|p1ffd_int[4]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.800 ns ; d[6]      ; zports:porty|p1ffd_int[6]         ; clkz_in  ;
; N/A                                     ; None                                                ; -4.800 ns ; d[6]      ; dram:dramko|int_wrdata[6]         ; fclk     ;
; N/A                                     ; None                                                ; -4.800 ns ; a[1]      ; dram:dramko|int_addr[0]           ; fclk     ;
; N/A                                     ; None                                                ; -4.800 ns ; d[1]      ; zports:porty|sdcs_n               ; clkz_in  ;
; N/A                                     ; None                                                ; -4.900 ns ; a[0]      ; dram:dramko|int_bsel[1]           ; fclk     ;
; N/A                                     ; None                                                ; -5.000 ns ; d[6]      ; zports:porty|wait_write[6]        ; clkz_in  ;
; N/A                                     ; None                                                ; -5.000 ns ; d[6]      ; zports:porty|gluclock_addr[6]     ; clkz_in  ;
; N/A                                     ; None                                                ; -5.000 ns ; d[3]      ; zports:porty|ideout[11]           ; clkz_in  ;
; N/A                                     ; None                                                ; -5.000 ns ; a[0]      ; zmem:z80mem|zd_out[7]             ; fclk     ;
; N/A                                     ; None                                                ; -5.100 ns ; a[7]      ; zports:porty|peff7_int[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.100 ns ; a[7]      ; zports:porty|peff7_int[7]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.100 ns ; a[7]      ; zports:porty|peff7_int[5]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.100 ns ; a[14]     ; dram:dramko|int_addr[15]          ; fclk     ;
; N/A                                     ; None                                                ; -5.100 ns ; a[14]     ; dram:dramko|int_addr[16]          ; fclk     ;
; N/A                                     ; None                                                ; -5.200 ns ; d[6]      ; dram:dramko|int_wrdata[14]        ; fclk     ;
; N/A                                     ; None                                                ; -5.200 ns ; a[14]     ; dram:dramko|int_addr[17]          ; fclk     ;
; N/A                                     ; None                                                ; -5.200 ns ; a[14]     ; dram:dramko|int_addr[18]          ; fclk     ;
; N/A                                     ; None                                                ; -5.300 ns ; a[8]      ; zports:porty|comport_addr[0]      ; clkz_in  ;
; N/A                                     ; None                                                ; -5.300 ns ; a[10]     ; dram:dramko|int_addr[9]           ; fclk     ;
; N/A                                     ; None                                                ; -5.300 ns ; d[5]      ; zports:porty|wait_write[5]        ; clkz_in  ;
; N/A                                     ; None                                                ; -5.300 ns ; d[5]      ; zports:porty|gluclock_addr[5]     ; clkz_in  ;
; N/A                                     ; None                                                ; -5.300 ns ; a[5]      ; dram:dramko|int_addr[4]           ; fclk     ;
; N/A                                     ; None                                                ; -5.300 ns ; d[1]      ; zports:porty|wait_write[1]        ; clkz_in  ;
; N/A                                     ; None                                                ; -5.300 ns ; d[1]      ; zports:porty|gluclock_addr[1]     ; clkz_in  ;
; N/A                                     ; None                                                ; -5.400 ns ; a[11]     ; dram:dramko|int_addr[10]          ; fclk     ;
; N/A                                     ; None                                                ; -5.400 ns ; a[8]      ; dram:dramko|int_addr[7]           ; fclk     ;
; N/A                                     ; None                                                ; -5.400 ns ; a[10]     ; pfpzu:profrom|plane[0]            ; clkz_in  ;
; N/A                                     ; None                                                ; -5.400 ns ; a[10]     ; pfpzu:profrom|plane[1]            ; clkz_in  ;
; N/A                                     ; None                                                ; -5.400 ns ; a[4]      ; dram:dramko|int_addr[3]           ; fclk     ;
; N/A                                     ; None                                                ; -5.400 ns ; a[6]      ; dram:dramko|int_addr[5]           ; fclk     ;
; N/A                                     ; None                                                ; -5.400 ns ; d[0]      ; zports:porty|wait_write[0]        ; clkz_in  ;
; N/A                                     ; None                                                ; -5.400 ns ; d[0]      ; zports:porty|gluclock_addr[0]     ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; vg_sl     ; vg93:vgshka|sl_sync[0]            ; fclk     ;
; N/A                                     ; None                                                ; -5.500 ns ; a[9]      ; pfpzu:profrom|plane[0]            ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; a[9]      ; pfpzu:profrom|plane[1]            ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; d[5]      ; zports:porty|ideout[13]           ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; a[7]      ; dram:dramko|int_addr[6]           ; fclk     ;
; N/A                                     ; None                                                ; -5.500 ns ; iorq_n    ; zports:porty|port_wr              ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; iorq_n    ; zports:porty|iowr_reg             ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; a[13]     ; zports:porty|p1ffd_int[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; d[1]      ; zports:porty|p7ffd_int[1]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.500 ns ; a[14]     ; zports:porty|p1ffd_int[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.600 ns ; a[9]      ; zports:porty|comport_addr[1]      ; clkz_in  ;
; N/A                                     ; None                                                ; -5.600 ns ; d[5]      ; zports:porty|peff7_int[5]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.600 ns ; a[3]      ; dram:dramko|int_addr[2]           ; fclk     ;
; N/A                                     ; None                                                ; -5.600 ns ; iorq_n    ; zports:porty|port_rd              ; clkz_in  ;
; N/A                                     ; None                                                ; -5.600 ns ; iorq_n    ; zports:porty|iord_reg             ; clkz_in  ;
; N/A                                     ; None                                                ; -5.600 ns ; a[12]     ; dram:dramko|int_addr[11]          ; fclk     ;
; N/A                                     ; None                                                ; -5.600 ns ; a[13]     ; dram:dramko|int_addr[12]          ; fclk     ;
; N/A                                     ; None                                                ; -5.600 ns ; d[4]      ; zports:porty|ideout[12]           ; clkz_in  ;
; N/A                                     ; None                                                ; -5.600 ns ; d[0]      ; zports:porty|p7ffd_int[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.700 ns ; d[7]      ; zports:porty|peff7_int[7]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.700 ns ; d[2]      ; zports:porty|ideout[10]           ; clkz_in  ;
; N/A                                     ; None                                                ; -5.700 ns ; d[1]      ; zports:porty|p1ffd_int[1]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.700 ns ; d[0]      ; zports:porty|peff7_int[0]         ; clkz_in  ;
; N/A                                     ; None                                                ; -5.800 ns ; a[9]      ; dram:dramko|int_addr[8]           ; fclk     ;
; N/A                                     ; None                                                ; -5.800 ns ; d[2]      ; zports:porty|wait_write[2]        ; clkz_in  ;
; N/A                                     ; None                                                ; -5.800 ns ; d[2]      ; zports:porty|gluclock_addr[2]     ; clkz_in  ;
; N/A                                     ; None                                                ; -5.800 ns ; a[2]      ; dram:dramko|int_addr[1]           ; fclk     ;
; N/A                                     ; None                                                ; -5.800 ns ; d[0]      ; spi2:zspi|shiftout[0]             ; fclk     ;
; N/A                                     ; None                                                ; -5.900 ns ; d[7]      ; dram:dramko|int_wrdata[7]         ; fclk     ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;           ;           ;                                   ;          ;
+-----------------------------------------+-----------------------------------------------------+-----------+-----------+-----------------------------------+----------+


+--------------------------------------------------------------------------------------------------------+
; Ignored Timing Assignments                                                                             ;
+-----------------+---------+--------+--------+-------------+--------------------------------------------+
; Option          ; Setting ; From   ; To     ; Entity Name ; Help                                       ;
+-----------------+---------+--------+--------+-------------+--------------------------------------------+
; Cut Timing Path ; On      ; rddata ; zd_out ;             ; Node named rddata removed during synthesis ;
+-----------------+---------+--------+--------+-------------+--------------------------------------------+


+--------------------------+
; Timing Analyzer Messages ;
+--------------------------+
Info: *******************************************************************
Info: Running Quartus II Classic Timing Analyzer
    Info: Version 9.0 Build 132 02/25/2009 SJ Full Version
    Info: Processing started: Tue Jan 24 15:58:53 2012
Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off pentevo -c top
Info: Started post-fitting delay annotation
Info: Delay annotation completed successfully
Warning: Timing Analysis is analyzing one or more combinational loops as latches
    Warning: Node "zmem:z80mem|zd_out[0]" is a latch
    Warning: Node "zmem:z80mem|zd_out[1]" is a latch
    Warning: Node "zmem:z80mem|zd_out[2]" is a latch
    Warning: Node "zmem:z80mem|zd_out[3]" is a latch
    Warning: Node "zmem:z80mem|zd_out[4]" is a latch
    Warning: Node "zmem:z80mem|zd_out[5]" is a latch
    Warning: Node "zmem:z80mem|zd_out[6]" is a latch
    Warning: Node "zmem:z80mem|zd_out[7]" is a latch
Warning: Found pins functioning as undefined clocks and/or memory enables
    Info: Assuming node "mreq_n" is an undefined clock
    Info: Assuming node "m1_n" is an undefined clock
Warning: Found 12 node(s) in clock paths which may be acting as ripple and/or gated clocks -- node(s) analyzed as buffer(s) resulting in clock skew
    Info: Detected ripple clock "arbiter:dramarb|cpu_strobe" as buffer
    Info: Detected gated clock "zports:porty|always3~1" as buffer
    Info: Detected ripple clock "zports:porty|peff7_int[7]" as buffer
    Info: Detected ripple clock "zports:porty|port_rd" as buffer
    Info: Detected gated clock "zports:porty|always17~0" as buffer
    Info: Detected gated clock "zports:porty|wait_start_comport~0" as buffer
    Info: Detected gated clock "zports:porty|wait_start_gluclock~2" as buffer
    Info: Detected gated clock "zports:porty|portfd_rd" as buffer
    Info: Detected gated clock "comb~4" as buffer
    Info: Detected ripple clock "zports:porty|port_wr" as buffer
    Info: Detected gated clock "zmem:z80mem|romoe_n" as buffer
    Info: Detected ripple clock "zports:porty|p1ffd_int[0]" as buffer
Info: Found timing assignments -- calculating delays
Info: Slack time is -8.9 ns for clock "fclk" between source register "zports:porty|peff7_int[0]" and destination register "videoout:vidia|vgrn[1]"
    Info: + Largest register to register requirement is 5.500 ns
        Info: + Setup relationship between source and destination is 8.000 ns
            Info: + Latch edge is 35.714 ns
                Info: Clock period of Destination clock "fclk" is 35.714 ns with  offset of 0.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Destination register is 1
            Info: - Launch edge is 27.714 ns
                Info: Clock period of Source clock "clkz_in" is 71.428 ns with  offset of -8.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Source register is 1
        Info: + Largest clock skew is -0.500 ns
            Info: + Shortest clock path from clock "fclk" to destination register is 3.000 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_183; Fanout = 688; CLK Node = 'fclk'
                Info: 2: + IC(0.400 ns) + CELL(0.400 ns) = 3.000 ns; Loc. = IOC_128; Fanout = 1; REG Node = 'videoout:vidia|vgrn[1]'
                Info: Total cell delay = 2.600 ns ( 86.67 % )
                Info: Total interconnect delay = 0.400 ns ( 13.33 % )
            Info: - Longest clock path from clock "clkz_in" to source register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_79; Fanout = 82; CLK Node = 'clkz_in'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC4_G4; Fanout = 27; REG Node = 'zports:porty|peff7_int[0]'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
        Info: - Micro clock to output delay of source is 0.700 ns
        Info: - Micro setup delay of destination is 1.300 ns
    Info: - Longest register to register delay is 14.400 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC4_G4; Fanout = 27; REG Node = 'zports:porty|peff7_int[0]'
        Info: 2: + IC(1.100 ns) + CELL(1.000 ns) = 2.100 ns; Loc. = LC3_G3; Fanout = 1; COMB Node = 'fetch:fecher|zxcolor[2]~56'
        Info: 3: + IC(0.000 ns) + CELL(1.500 ns) = 3.600 ns; Loc. = LC4_G3; Fanout = 1; COMB Node = 'fetch:fecher|zxcolor[2]~37'
        Info: 4: + IC(1.100 ns) + CELL(1.600 ns) = 6.300 ns; Loc. = LC7_G2; Fanout = 3; COMB Node = 'fetch:fecher|zxcolor[2]~17'
        Info: 5: + IC(1.100 ns) + CELL(1.600 ns) = 9.000 ns; Loc. = LC2_G1; Fanout = 2; COMB Node = 'videoout:vidia|color[3]~18'
        Info: 6: + IC(0.200 ns) + CELL(1.500 ns) = 10.700 ns; Loc. = LC4_G1; Fanout = 1; COMB Node = 'videoout:vidia|vgrn[1]~COMB'
        Info: 7: + IC(1.700 ns) + CELL(2.000 ns) = 14.400 ns; Loc. = IOC_128; Fanout = 1; REG Node = 'videoout:vidia|vgrn[1]'
        Info: Total cell delay = 9.200 ns ( 63.89 % )
        Info: Total interconnect delay = 5.200 ns ( 36.11 % )
Warning: Can't achieve timing requirement Clock Setup: 'fclk' along 129 path(s). See Report window for details.
Info: Slack time is 17.814 ns for clock "clkz_in" between source register "resetter:myrst|rst_out_n" and destination register "vg93:vgshka|vg_a[1]"
    Info: + Largest register to register requirement is 26.314 ns
        Info: + Setup relationship between source and destination is 27.714 ns
            Info: + Latch edge is 27.714 ns
                Info: Clock period of Destination clock "clkz_in" is 71.428 ns with  offset of -8.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Destination register is 1
            Info: - Launch edge is 0.000 ns
                Info: Clock period of Source clock "fclk" is 35.714 ns with  offset of 0.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Source register is 1
        Info: + Largest clock skew is 0.000 ns
            Info: + Shortest clock path from clock "clkz_in" to destination register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_79; Fanout = 82; CLK Node = 'clkz_in'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC6_C21; Fanout = 1; REG Node = 'vg93:vgshka|vg_a[1]'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
            Info: - Longest clock path from clock "fclk" to source register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_183; Fanout = 688; CLK Node = 'fclk'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC8_C11; Fanout = 24; REG Node = 'resetter:myrst|rst_out_n'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
        Info: - Micro clock to output delay of source is 0.700 ns
        Info: - Micro setup delay of destination is 0.700 ns
    Info: - Longest register to register delay is 8.500 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC8_C11; Fanout = 24; REG Node = 'resetter:myrst|rst_out_n'
        Info: 2: + IC(3.300 ns) + CELL(1.400 ns) = 4.700 ns; Loc. = LC1_J36; Fanout = 4; COMB Node = 'vg93:vgshka|vg_hrdy~1'
        Info: 3: + IC(2.900 ns) + CELL(0.900 ns) = 8.500 ns; Loc. = LC6_C21; Fanout = 1; REG Node = 'vg93:vgshka|vg_a[1]'
        Info: Total cell delay = 2.300 ns ( 27.06 % )
        Info: Total interconnect delay = 6.200 ns ( 72.94 % )
Info: No valid register-to-register data paths exist for clock "spick"
Info: Slack time is 18.233 ns for clock "mreq_n" between source register "pfpzu:profrom|plane[1]" and destination register "pfpzu:profrom|newplane[0]"
    Info: Fmax is restricted to 166.67 MHz due to tcl and tch limits
    Info: + Largest register to register requirement is 19.433 ns
        Info: + Setup relationship between source and destination is 20.833 ns
            Info: + Latch edge is 41.666 ns
                Info: Clock period of Destination clock "mreq_n" is 41.666 ns with  offset of 0.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Destination register is 1
            Info: - Launch edge is 20.833 ns
                Info: Clock period of Source clock "mreq_n" is 41.666 ns with inverted offset of 20.833 ns and duty cycle of 50
                Info: Multicycle Setup factor for Source register is 1
        Info: + Largest clock skew is 0.000 ns
            Info: + Shortest clock path from clock "mreq_n" to destination register is 14.500 ns
                Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_8; Fanout = 4; CLK Node = 'mreq_n'
                Info: 2: + IC(3.800 ns) + CELL(1.500 ns) = 8.300 ns; Loc. = LC5_A7; Fanout = 3; COMB Node = 'zmem:z80mem|romoe_n'
                Info: 3: + IC(2.500 ns) + CELL(1.400 ns) = 12.200 ns; Loc. = LC7_E4; Fanout = 4; COMB Node = 'comb~4'
                Info: 4: + IC(2.300 ns) + CELL(0.000 ns) = 14.500 ns; Loc. = LC1_I5; Fanout = 1; REG Node = 'pfpzu:profrom|newplane[0]'
                Info: Total cell delay = 5.900 ns ( 40.69 % )
                Info: Total interconnect delay = 8.600 ns ( 59.31 % )
            Info: - Longest clock path from clock "mreq_n" to source register is 14.500 ns
                Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_8; Fanout = 4; CLK Node = 'mreq_n'
                Info: 2: + IC(3.800 ns) + CELL(1.500 ns) = 8.300 ns; Loc. = LC5_A7; Fanout = 3; COMB Node = 'zmem:z80mem|romoe_n'
                Info: 3: + IC(2.500 ns) + CELL(1.400 ns) = 12.200 ns; Loc. = LC7_E4; Fanout = 4; COMB Node = 'comb~4'
                Info: 4: + IC(2.300 ns) + CELL(0.000 ns) = 14.500 ns; Loc. = LC7_I5; Fanout = 3; REG Node = 'pfpzu:profrom|plane[1]'
                Info: Total cell delay = 5.900 ns ( 40.69 % )
                Info: Total interconnect delay = 8.600 ns ( 59.31 % )
        Info: - Micro clock to output delay of source is 0.700 ns
        Info: - Micro setup delay of destination is 0.700 ns
    Info: - Longest register to register delay is 1.200 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC7_I5; Fanout = 3; REG Node = 'pfpzu:profrom|plane[1]'
        Info: 2: + IC(0.200 ns) + CELL(1.000 ns) = 1.200 ns; Loc. = LC1_I5; Fanout = 1; REG Node = 'pfpzu:profrom|newplane[0]'
        Info: Total cell delay = 1.000 ns ( 83.33 % )
        Info: Total interconnect delay = 0.200 ns ( 16.67 % )
Info: No valid register-to-register data paths exist for clock "m1_n"
Info: Minimum slack time is 800 ps for clock "fclk" between source register "fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]" and destination register "fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]"
    Info: + Shortest register to register delay is 0.900 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC2_F6; Fanout = 2; REG Node = 'fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]'
        Info: 2: + IC(0.000 ns) + CELL(0.900 ns) = 0.900 ns; Loc. = LC2_F6; Fanout = 2; REG Node = 'fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]'
        Info: Total cell delay = 0.900 ns ( 100.00 % )
    Info: - Smallest register to register requirement is 0.100 ns
        Info: + Hold relationship between source and destination is 0.000 ns
            Info: + Latch edge is 0.000 ns
                Info: Clock period of Destination clock "fclk" is 35.714 ns with  offset of 0.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Destination register is 1
                Info: Multicycle Hold factor for Destination register is 1
            Info: - Launch edge is 0.000 ns
                Info: Clock period of Source clock "fclk" is 35.714 ns with  offset of 0.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Source register is 1
                Info: Multicycle Hold factor for Source register is 1
        Info: + Smallest clock skew is 0.000 ns
            Info: + Longest clock path from clock "fclk" to destination register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_183; Fanout = 688; CLK Node = 'fclk'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC2_F6; Fanout = 2; REG Node = 'fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
            Info: - Shortest clock path from clock "fclk" to source register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_183; Fanout = 688; CLK Node = 'fclk'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC2_F6; Fanout = 2; REG Node = 'fetch:fecher|lpm_counter:fcnt_rtl_5|alt_counter_f10ke:wysi_counter|counter_cell[0]'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
        Info: - Micro clock to output delay of source is 0.700 ns
        Info: + Micro hold delay of destination is 0.800 ns
Info: Minimum slack time is 800 ps for clock "clkz_in" between source register "zint:preryv|intctr[0]" and destination register "zint:preryv|intctr[0]"
    Info: + Shortest register to register delay is 0.900 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC3_D2; Fanout = 2; REG Node = 'zint:preryv|intctr[0]'
        Info: 2: + IC(0.000 ns) + CELL(0.900 ns) = 0.900 ns; Loc. = LC3_D2; Fanout = 2; REG Node = 'zint:preryv|intctr[0]'
        Info: Total cell delay = 0.900 ns ( 100.00 % )
    Info: - Smallest register to register requirement is 0.100 ns
        Info: + Hold relationship between source and destination is 0.000 ns
            Info: + Latch edge is -8.000 ns
                Info: Clock period of Destination clock "clkz_in" is 71.428 ns with  offset of -8.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Destination register is 1
                Info: Multicycle Hold factor for Destination register is 1
            Info: - Launch edge is -8.000 ns
                Info: Clock period of Source clock "clkz_in" is 71.428 ns with  offset of -8.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Source register is 1
                Info: Multicycle Hold factor for Source register is 1
        Info: + Smallest clock skew is 0.000 ns
            Info: + Longest clock path from clock "clkz_in" to destination register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_79; Fanout = 82; CLK Node = 'clkz_in'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC3_D2; Fanout = 2; REG Node = 'zint:preryv|intctr[0]'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
            Info: - Shortest clock path from clock "clkz_in" to source register is 3.500 ns
                Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_79; Fanout = 82; CLK Node = 'clkz_in'
                Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC3_D2; Fanout = 2; REG Node = 'zint:preryv|intctr[0]'
                Info: Total cell delay = 2.200 ns ( 62.86 % )
                Info: Total interconnect delay = 1.300 ns ( 37.14 % )
        Info: - Micro clock to output delay of source is 0.700 ns
        Info: + Micro hold delay of destination is 0.800 ns
Info: Minimum slack time is 21.833 ns for clock "mreq_n" between source register "pfpzu:profrom|plane[1]" and destination register "pfpzu:profrom|newplane[1]"
    Info: + Shortest register to register delay is 1.100 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC7_I5; Fanout = 3; REG Node = 'pfpzu:profrom|plane[1]'
        Info: 2: + IC(0.200 ns) + CELL(0.900 ns) = 1.100 ns; Loc. = LC5_I5; Fanout = 1; REG Node = 'pfpzu:profrom|newplane[1]'
        Info: Total cell delay = 0.900 ns ( 81.82 % )
        Info: Total interconnect delay = 0.200 ns ( 18.18 % )
    Info: - Smallest register to register requirement is -20.733 ns
        Info: + Hold relationship between source and destination is -20.833 ns
            Info: + Latch edge is 0.000 ns
                Info: Clock period of Destination clock "mreq_n" is 41.666 ns with  offset of 0.000 ns and duty cycle of 50
                Info: Multicycle Setup factor for Destination register is 1
                Info: Multicycle Hold factor for Destination register is 1
            Info: - Launch edge is 20.833 ns
                Info: Clock period of Source clock "mreq_n" is 41.666 ns with inverted offset of 20.833 ns and duty cycle of 50
                Info: Multicycle Setup factor for Source register is 1
                Info: Multicycle Hold factor for Source register is 1
        Info: + Smallest clock skew is 0.000 ns
            Info: + Longest clock path from clock "mreq_n" to destination register is 14.500 ns
                Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_8; Fanout = 4; CLK Node = 'mreq_n'
                Info: 2: + IC(3.800 ns) + CELL(1.500 ns) = 8.300 ns; Loc. = LC5_A7; Fanout = 3; COMB Node = 'zmem:z80mem|romoe_n'
                Info: 3: + IC(2.500 ns) + CELL(1.400 ns) = 12.200 ns; Loc. = LC7_E4; Fanout = 4; COMB Node = 'comb~4'
                Info: 4: + IC(2.300 ns) + CELL(0.000 ns) = 14.500 ns; Loc. = LC5_I5; Fanout = 1; REG Node = 'pfpzu:profrom|newplane[1]'
                Info: Total cell delay = 5.900 ns ( 40.69 % )
                Info: Total interconnect delay = 8.600 ns ( 59.31 % )
            Info: - Shortest clock path from clock "mreq_n" to source register is 14.500 ns
                Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_8; Fanout = 4; CLK Node = 'mreq_n'
                Info: 2: + IC(3.800 ns) + CELL(1.500 ns) = 8.300 ns; Loc. = LC5_A7; Fanout = 3; COMB Node = 'zmem:z80mem|romoe_n'
                Info: 3: + IC(2.500 ns) + CELL(1.400 ns) = 12.200 ns; Loc. = LC7_E4; Fanout = 4; COMB Node = 'comb~4'
                Info: 4: + IC(2.300 ns) + CELL(0.000 ns) = 14.500 ns; Loc. = LC7_I5; Fanout = 3; REG Node = 'pfpzu:profrom|plane[1]'
                Info: Total cell delay = 5.900 ns ( 40.69 % )
                Info: Total interconnect delay = 8.600 ns ( 59.31 % )
        Info: - Micro clock to output delay of source is 0.700 ns
        Info: + Micro hold delay of destination is 0.800 ns
Info: tsu for register "zports:porty|p7ffd_int[0]" (data pin = "a[3]", clock pin = "clkz_in") is 28.400 ns
    Info: + Longest pin to register delay is 31.200 ns
        Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_39; Fanout = 42; PIN Node = 'a[3]'
        Info: 2: + IC(7.000 ns) + CELL(1.500 ns) = 11.500 ns; Loc. = LC5_B31; Fanout = 9; COMB Node = 'zports:porty|Selector7~0'
        Info: 3: + IC(2.800 ns) + CELL(1.400 ns) = 15.700 ns; Loc. = LC8_J23; Fanout = 4; COMB Node = 'zports:porty|Equal0~0'
        Info: 4: + IC(2.300 ns) + CELL(1.400 ns) = 19.400 ns; Loc. = LC5_G25; Fanout = 3; COMB Node = 'zports:porty|Equal19~0'
        Info: 5: + IC(3.100 ns) + CELL(1.400 ns) = 23.900 ns; Loc. = LC5_D6; Fanout = 2; COMB Node = 'zports:porty|always10~1'
        Info: 6: + IC(2.900 ns) + CELL(1.400 ns) = 28.200 ns; Loc. = LC4_E27; Fanout = 6; COMB Node = 'zports:porty|always9~2'
        Info: 7: + IC(2.100 ns) + CELL(0.900 ns) = 31.200 ns; Loc. = LC4_E4; Fanout = 1; REG Node = 'zports:porty|p7ffd_int[0]'
        Info: Total cell delay = 11.000 ns ( 35.26 % )
        Info: Total interconnect delay = 20.200 ns ( 64.74 % )
    Info: + Micro setup delay of destination is 0.700 ns
    Info: - Shortest clock path from clock "clkz_in" to destination register is 3.500 ns
        Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_79; Fanout = 82; CLK Node = 'clkz_in'
        Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC4_E4; Fanout = 1; REG Node = 'zports:porty|p7ffd_int[0]'
        Info: Total cell delay = 2.200 ns ( 62.86 % )
        Info: Total interconnect delay = 1.300 ns ( 37.14 % )
Info: tco from clock "clkz_in" to destination pin "d[0]" through register "zports:porty|peff7_int[7]" is 33.600 ns
    Info: + Longest clock path from clock "clkz_in" to source register is 3.500 ns
        Info: 1: + IC(0.000 ns) + CELL(2.200 ns) = 2.200 ns; Loc. = PIN_79; Fanout = 82; CLK Node = 'clkz_in'
        Info: 2: + IC(1.300 ns) + CELL(0.000 ns) = 3.500 ns; Loc. = LC1_G4; Fanout = 4; REG Node = 'zports:porty|peff7_int[7]'
        Info: Total cell delay = 2.200 ns ( 62.86 % )
        Info: Total interconnect delay = 1.300 ns ( 37.14 % )
    Info: + Micro clock to output delay of source is 0.700 ns
    Info: + Longest register to pin delay is 29.400 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC1_G4; Fanout = 4; REG Node = 'zports:porty|peff7_int[7]'
        Info: 2: + IC(3.300 ns) + CELL(1.400 ns) = 4.700 ns; Loc. = LC1_B35; Fanout = 8; COMB Node = 'zports:porty|always3~1'
        Info: 3: + IC(2.700 ns) + CELL(1.600 ns) = 9.000 ns; Loc. = LC8_I31; Fanout = 1; COMB Node = 'zports:porty|Selector7~34'
        Info: 4: + IC(0.200 ns) + CELL(1.500 ns) = 10.700 ns; Loc. = LC5_I31; Fanout = 1; COMB Node = 'zports:porty|Selector7~41'
        Info: 5: + IC(1.500 ns) + CELL(1.400 ns) = 13.600 ns; Loc. = LC5_I19; Fanout = 1; COMB Node = 'zports:porty|Selector7~40'
        Info: 6: + IC(2.000 ns) + CELL(1.600 ns) = 17.200 ns; Loc. = LC7_J19; Fanout = 1; COMB Node = 'zports:porty|Selector7~8'
        Info: 7: + IC(2.300 ns) + CELL(1.400 ns) = 20.900 ns; Loc. = LC2_G22; Fanout = 1; COMB Node = 'd[0]~35'
        Info: 8: + IC(0.200 ns) + CELL(1.400 ns) = 22.500 ns; Loc. = LC5_G22; Fanout = 1; COMB Node = 'd[0]~36'
        Info: 9: + IC(2.300 ns) + CELL(4.600 ns) = 29.400 ns; Loc. = PIN_18; Fanout = 0; PIN Node = 'd[0]'
        Info: Total cell delay = 14.900 ns ( 50.68 % )
        Info: Total interconnect delay = 14.500 ns ( 49.32 % )
Info: Longest tpd from source pin "a[0]" to destination pin "d[3]" is 48.400 ns
    Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_44; Fanout = 67; PIN Node = 'a[0]'
    Info: 2: + IC(5.200 ns) + CELL(1.500 ns) = 9.700 ns; Loc. = LC4_B27; Fanout = 6; COMB Node = 'zports:porty|Equal1~0'
    Info: 3: + IC(2.500 ns) + CELL(1.500 ns) = 13.700 ns; Loc. = LC7_J29; Fanout = 3; COMB Node = 'zports:porty|Equal1~2'
    Info: 4: + IC(1.400 ns) + CELL(1.500 ns) = 16.600 ns; Loc. = LC8_J22; Fanout = 4; COMB Node = 'zports:porty|Equal1~3'
    Info: 5: + IC(2.300 ns) + CELL(1.400 ns) = 20.300 ns; Loc. = LC8_G25; Fanout = 1; COMB Node = 'zports:porty|porthit~5'
    Info: 6: + IC(2.300 ns) + CELL(1.400 ns) = 24.000 ns; Loc. = LC4_J34; Fanout = 1; COMB Node = 'zports:porty|porthit~8'
    Info: 7: + IC(0.200 ns) + CELL(1.100 ns) = 25.300 ns; Loc. = LC5_J34; Fanout = 1; COMB Node = 'zports:porty|porthit~21'
    Info: 8: + IC(0.000 ns) + CELL(1.500 ns) = 26.800 ns; Loc. = LC6_J34; Fanout = 3; COMB Node = 'zports:porty|porthit~13'
    Info: 9: + IC(3.500 ns) + CELL(1.500 ns) = 31.800 ns; Loc. = LC6_D6; Fanout = 9; COMB Node = 'zports:porty|dataout~4'
    Info: 10: + IC(2.200 ns) + CELL(1.500 ns) = 35.500 ns; Loc. = LC7_A7; Fanout = 1; COMB Node = 'd[7]~37'
    Info: 11: + IC(0.200 ns) + CELL(1.400 ns) = 37.100 ns; Loc. = LC1_A7; Fanout = 8; COMB Node = 'd[7]~38'
    Info: 12: + IC(3.400 ns) + CELL(7.900 ns) = 48.400 ns; Loc. = PIN_15; Fanout = 0; PIN Node = 'd[3]'
    Info: Total cell delay = 25.200 ns ( 52.07 % )
    Info: Total interconnect delay = 23.200 ns ( 47.93 % )
Info: th for register "zports:porty|nmi_out" (data pin = "a[14]", clock pin = "m1_n") is 6.000 ns
    Info: + Longest clock path from clock "m1_n" to destination register is 13.300 ns
        Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_144; Fanout = 3; CLK Node = 'm1_n'
        Info: 2: + IC(6.400 ns) + CELL(1.500 ns) = 10.900 ns; Loc. = LC3_A7; Fanout = 3; COMB Node = 'zports:porty|always17~0'
        Info: 3: + IC(2.400 ns) + CELL(0.000 ns) = 13.300 ns; Loc. = LC8_E4; Fanout = 2; REG Node = 'zports:porty|nmi_out'
        Info: Total cell delay = 4.500 ns ( 33.83 % )
        Info: Total interconnect delay = 8.800 ns ( 66.17 % )
    Info: + Micro hold delay of destination is 0.800 ns
    Info: - Shortest pin to register delay is 8.100 ns
        Info: 1: + IC(0.000 ns) + CELL(3.000 ns) = 3.000 ns; Loc. = PIN_24; Fanout = 21; PIN Node = 'a[14]'
        Info: 2: + IC(4.000 ns) + CELL(1.100 ns) = 8.100 ns; Loc. = LC8_E4; Fanout = 2; REG Node = 'zports:porty|nmi_out'
        Info: Total cell delay = 4.100 ns ( 50.62 % )
        Info: Total interconnect delay = 4.000 ns ( 49.38 % )
Critical Warning: Timing requirements for slow timing model timing analysis were not met. See Report window for details.
Warning: Found invalid timing assignments -- see Ignored Timing Assignments report for details
Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 14 warnings
    Info: Peak virtual memory: 202 megabytes
    Info: Processing ended: Tue Jan 24 15:58:55 2012
    Info: Elapsed time: 00:00:02
    Info: Total CPU time (on all processors): 00:00:01