Subversion Repositories pentevo

Rev

Blame | Last modification | View Log | Download | RSS feed | ?url?

  1. // synopsys translate_off
  2. `timescale 1 ps / 1 ps
  3. // synopsys translate_on
  4. module lpm_rom0 (
  5.         address,
  6.         q);
  7.  
  8.         input   [9:0]  address;
  9.         output  [7:0]  q;
  10.  
  11.         wire [7:0] sub_wire0;
  12.         wire [7:0] q = sub_wire0[7:0];
  13.  
  14.         lpm_rom lpm_rom_component (
  15.                                 .address (address),
  16.                                 .q (sub_wire0),
  17.                                 .inclock (1'b1),
  18.                                 .memenab (1'b1),
  19.                                 .outclock (1'b1));
  20.         defparam
  21.                 lpm_rom_component.intended_device_family = "ACEX1K",
  22.                 lpm_rom_component.lpm_address_control = "UNREGISTERED",
  23.                 lpm_rom_component.lpm_file = "ZX_FONT.HEX",
  24.                 lpm_rom_component.lpm_outdata = "UNREGISTERED",
  25.                 lpm_rom_component.lpm_type = "LPM_ROM",
  26.                 lpm_rom_component.lpm_width = 8,
  27.                 lpm_rom_component.lpm_widthad = 10;
  28.  
  29.  
  30. endmodule
  31.