Subversion Repositories KoE_projects

Rev

Blame | Last modification | View Log | Download | RSS feed | ?url?

  1. -- megafunction wizard: %RAM: 2-PORT%
  2. -- GENERATION: STANDARD
  3. -- VERSION: WM1.0
  4. -- MODULE: altsyncram
  5.  
  6. -- ============================================================
  7. -- File Name: ram_pollitra.vhd
  8. -- Megafunction Name(s):
  9. --                      altsyncram
  10. --
  11. -- Simulation Library Files(s):
  12. --                      altera_mf
  13. -- ============================================================
  14. -- ************************************************************
  15. -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
  16. --
  17. -- 11.0 Build 208 07/03/2011 SP 1 SJ Full Version
  18. -- ************************************************************
  19.  
  20.  
  21. --Copyright (C) 1991-2011 Altera Corporation
  22. --Your use of Altera Corporation's design tools, logic functions
  23. --and other software and tools, and its AMPP partner logic
  24. --functions, and any output files from any of the foregoing
  25. --(including device programming or simulation files), and any
  26. --associated documentation or information are expressly subject
  27. --to the terms and conditions of the Altera Program License
  28. --Subscription Agreement, Altera MegaCore Function License
  29. --Agreement, or other applicable license agreement, including,
  30. --without limitation, that your use is for the sole purpose of
  31. --programming logic devices manufactured by Altera and sold by
  32. --Altera or its authorized distributors.  Please refer to the
  33. --applicable agreement for further details.
  34.  
  35.  
  36. LIBRARY ieee;
  37. USE ieee.std_logic_1164.all;
  38.  
  39. LIBRARY altera_mf;
  40. USE altera_mf.all;
  41.  
  42. ENTITY ram_pollitra IS
  43.         PORT
  44.         (
  45.                 clock           : IN STD_LOGIC  := '1';
  46.                 data            : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
  47.                 rdaddress               : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
  48.                 wraddress               : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
  49.                 wren            : IN STD_LOGIC  := '0';
  50.                 q               : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
  51.         );
  52. END ram_pollitra;
  53.  
  54.  
  55. ARCHITECTURE SYN OF ram_pollitra IS
  56.  
  57.         SIGNAL sub_wire0        : STD_LOGIC_VECTOR (15 DOWNTO 0);
  58.  
  59.  
  60.  
  61.         COMPONENT altsyncram
  62.         GENERIC (
  63.                 address_reg_b           : STRING;
  64.                 clock_enable_input_a            : STRING;
  65.                 clock_enable_input_b            : STRING;
  66.                 clock_enable_output_a           : STRING;
  67.                 clock_enable_output_b           : STRING;
  68.                 init_file               : STRING;
  69.                 intended_device_family          : STRING;
  70.                 lpm_type                : STRING;
  71.                 numwords_a              : NATURAL;
  72.                 numwords_b              : NATURAL;
  73.                 operation_mode          : STRING;
  74.                 outdata_aclr_b          : STRING;
  75.                 outdata_reg_b           : STRING;
  76.                 power_up_uninitialized          : STRING;
  77.                 read_during_write_mode_mixed_ports              : STRING;
  78.                 widthad_a               : NATURAL;
  79.                 widthad_b               : NATURAL;
  80.                 width_a         : NATURAL;
  81.                 width_b         : NATURAL;
  82.                 width_byteena_a         : NATURAL
  83.         );
  84.         PORT (
  85.                         address_a       : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
  86.                         clock0  : IN STD_LOGIC ;
  87.                         data_a  : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
  88.                         q_b     : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
  89.                         wren_a  : IN STD_LOGIC ;
  90.                         address_b       : IN STD_LOGIC_VECTOR (3 DOWNTO 0)
  91.         );
  92.         END COMPONENT;
  93.  
  94. BEGIN
  95.         q    <= sub_wire0(15 DOWNTO 0);
  96.  
  97.         altsyncram_component : altsyncram
  98.         GENERIC MAP (
  99.                 address_reg_b => "CLOCK0",
  100.                 clock_enable_input_a => "BYPASS",
  101.                 clock_enable_input_b => "BYPASS",
  102.                 clock_enable_output_a => "BYPASS",
  103.                 clock_enable_output_b => "BYPASS",
  104.                 init_file => "../../common/pollitra.hex",
  105.                 intended_device_family => "Cyclone II",
  106.                 lpm_type => "altsyncram",
  107.                 numwords_a => 16,
  108.                 numwords_b => 16,
  109.                 operation_mode => "DUAL_PORT",
  110.                 outdata_aclr_b => "NONE",
  111.                 outdata_reg_b => "UNREGISTERED",
  112.                 power_up_uninitialized => "FALSE",
  113.                 read_during_write_mode_mixed_ports => "OLD_DATA",
  114.                 widthad_a => 4,
  115.                 widthad_b => 4,
  116.                 width_a => 16,
  117.                 width_b => 16,
  118.                 width_byteena_a => 1
  119.         )
  120.         PORT MAP (
  121.                 address_a => wraddress,
  122.                 clock0 => clock,
  123.                 data_a => data,
  124.                 wren_a => wren,
  125.                 address_b => rdaddress,
  126.                 q_b => sub_wire0
  127.         );
  128.  
  129.  
  130.  
  131. END SYN;
  132.  
  133. -- ============================================================
  134. -- CNX file retrieval info
  135. -- ============================================================
  136. -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
  137. -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
  138. -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
  139. -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
  140. -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
  141. -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
  142. -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
  143. -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
  144. -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
  145. -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
  146. -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
  147. -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
  148. -- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
  149. -- Retrieval info: PRIVATE: CLRq NUMERIC "0"
  150. -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
  151. -- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
  152. -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
  153. -- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
  154. -- Retrieval info: PRIVATE: Clock NUMERIC "0"
  155. -- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
  156. -- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
  157. -- Retrieval info: PRIVATE: ECC NUMERIC "0"
  158. -- Retrieval info: PRIVATE: ECC_PIPELINE_STAGE NUMERIC "0"
  159. -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
  160. -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
  161. -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
  162. -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
  163. -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
  164. -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
  165. -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
  166. -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
  167. -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
  168. -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "256"
  169. -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
  170. -- Retrieval info: PRIVATE: MIFfilename STRING "../../common/pollitra.hex"
  171. -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
  172. -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
  173. -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
  174. -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
  175. -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1"
  176. -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
  177. -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
  178. -- Retrieval info: PRIVATE: REGdata NUMERIC "1"
  179. -- Retrieval info: PRIVATE: REGq NUMERIC "1"
  180. -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
  181. -- Retrieval info: PRIVATE: REGrren NUMERIC "1"
  182. -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
  183. -- Retrieval info: PRIVATE: REGwren NUMERIC "1"
  184. -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
  185. -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
  186. -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
  187. -- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
  188. -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16"
  189. -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "16"
  190. -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16"
  191. -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "16"
  192. -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
  193. -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
  194. -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
  195. -- Retrieval info: PRIVATE: enable NUMERIC "0"
  196. -- Retrieval info: PRIVATE: rden NUMERIC "0"
  197. -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
  198. -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
  199. -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
  200. -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
  201. -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
  202. -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
  203. -- Retrieval info: CONSTANT: INIT_FILE STRING "../../common/pollitra.hex"
  204. -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
  205. -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
  206. -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "16"
  207. -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "16"
  208. -- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
  209. -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
  210. -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
  211. -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
  212. -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA"
  213. -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "4"
  214. -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "4"
  215. -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
  216. -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "16"
  217. -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
  218. -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
  219. -- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]"
  220. -- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL "q[15..0]"
  221. -- Retrieval info: USED_PORT: rdaddress 0 0 4 0 INPUT NODEFVAL "rdaddress[3..0]"
  222. -- Retrieval info: USED_PORT: wraddress 0 0 4 0 INPUT NODEFVAL "wraddress[3..0]"
  223. -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren"
  224. -- Retrieval info: CONNECT: @address_a 0 0 4 0 wraddress 0 0 4 0
  225. -- Retrieval info: CONNECT: @address_b 0 0 4 0 rdaddress 0 0 4 0
  226. -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
  227. -- Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0
  228. -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
  229. -- Retrieval info: CONNECT: q 0 0 16 0 @q_b 0 0 16 0
  230. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra.vhd TRUE
  231. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra.inc TRUE
  232. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra.cmp TRUE
  233. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra.bsf TRUE
  234. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra_inst.vhd TRUE
  235. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra_waveforms.html TRUE
  236. -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_pollitra_wave*.jpg FALSE
  237. -- Retrieval info: LIB_FILE: altera_mf
  238.