Subversion Repositories ngs

Rev

Rev 3 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. module rom(
  2.         input [15:0] addr,
  3.         output reg [7:0] data,
  4.         input ce_n
  5. );
  6.  
  7.         always @*
  8.         begin
  9.                 if( ce_n )
  10.                         data <= 8'bZZZZZZZZ;
  11.                 else
  12. //                      data <= 8'h00; // NOPs
  13.       case(addr)
  14.          16'h0000: data<=8'h21; // ld hl,aa55
  15.          16'h0001: data<=8'h55;
  16.          16'h0002: data<=8'haa;
  17.          16'h0003: data<=8'h22; // ld (8001),hl
  18.          16'h0004: data<=8'h01;
  19.          16'h0005: data<=8'h80;
  20.          16'h0006: data<=8'h3a; // ld a,(8001)
  21.          16'h0007: data<=8'h01;
  22.          16'h0008: data<=8'h80;
  23.          16'h0009: data<=8'hd3; // out (0),a
  24.          16'h000a: data<=8'h00;
  25.          16'h000b: data<=8'h3a; // ld a,(8002)
  26.          16'h000c: data<=8'h02;
  27.          16'h000d: data<=8'h80;
  28.          16'h000e: data<=8'hd3; // out (ff),a
  29.          16'h000f: data<=8'hff;
  30.          16'h0010: data<=8'hc3; // jp 0
  31.          16'h0011: data<=8'h00;
  32.          16'h0012: data<=8'h00;
  33.          default: data<=8'h00;
  34.       endcase
  35.  
  36.         end
  37.  
  38.  
  39.  
  40.  
  41. endmodule
  42.  
  43.