Subversion Repositories pentevo

Rev

Rev 394 | Rev 467 | Go to most recent revision | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed

  1. // PentEvo project (c) NedoPC 2008-2010
  2. //
  3. // most of pentevo ports are here
  4.  
  5. `include "../include/tune.v"
  6.  
  7. module zports(
  8.  
  9.         input  wire        zclk,   // z80 clock
  10.         input  wire        fclk,  // global FPGA clock
  11.         input  wire        rst_n, // system reset
  12.  
  13.         input  wire        zpos,
  14.         input  wire        zneg,
  15.  
  16.  
  17.         input  wire [ 7:0] din,
  18.         output reg  [ 7:0] dout,
  19.         output wire        dataout,
  20.         input  wire [15:0] a,
  21.  
  22.         input  wire        iorq_n,
  23.         input  wire        mreq_n,
  24.         input  wire        m1_n,
  25.         input  wire        rd_n,
  26.         input  wire        wr_n,
  27.  
  28.         output reg         porthit, // when internal port hit occurs, this is 1, else 0; used for iorq1_n iorq2_n on zxbus
  29.  
  30.         output wire [15:0] ideout,
  31.         input  wire [15:0] idein,
  32.         output wire        idedataout, // IDE must IN data from IDE device when idedataout=0, else it OUTs
  33.         output wire [ 2:0] ide_a,
  34.         output wire        ide_cs0_n,
  35.         output wire        ide_cs1_n,
  36.         output wire        ide_rd_n,
  37.         output wire        ide_wr_n,
  38.  
  39.  
  40.         input  wire [ 4:0] keys_in, // keys (port FE)
  41.         input  wire [ 7:0] mus_in,  // mouse (xxDF)
  42.         input  wire [ 4:0] kj_in,
  43.  
  44.         output reg  [ 3:0] border,
  45.  
  46.  
  47.         input  wire        dos,
  48.  
  49.  
  50.         output wire        ay_bdir,
  51.         output wire        ay_bc1,
  52.  
  53.         output wire [ 7:0] p7ffd,
  54.         output wire [ 7:0] peff7,
  55.  
  56.         input  wire [ 1:0] rstrom,
  57.  
  58.         input  wire        tape_read,
  59.  
  60.         output wire        vg_cs_n,
  61.         input  wire        vg_intrq,
  62.         input  wire        vg_drq, // from vg93 module - drq + irq read
  63.         output wire        vg_wrFF,        // write strobe of #FF port
  64.  
  65.         output reg         sdcs_n,
  66.         output wire        sd_start,
  67.         output wire [ 7:0] sd_datain,
  68.         input  wire [ 7:0] sd_dataout,
  69.  
  70.         // WAIT-ports related
  71.         //
  72.         output reg  [ 7:0] gluclock_addr,
  73.         //
  74.         output reg  [ 2:0] comport_addr,
  75.         //
  76.         output wire        wait_start_gluclock, // begin wait from some ports
  77.         output wire        wait_start_comport,  //
  78.         //
  79.         output reg         wait_rnw,   // whether it was read(=1) or write(=0)
  80.         output reg  [ 7:0] wait_write,
  81.         input  wire [ 7:0] wait_read,
  82.  
  83.  
  84.         output wire        atmF7_wr_fclk, // used in atm_pager.v
  85.  
  86.  
  87.         output reg  [ 2:0] atm_scr_mode, // RG0..RG2 in docs
  88.         output reg         atm_turbo,    // turbo mode ON
  89.         output reg         atm_pen,      // pager_off in atm_pager.v, NOT inverted!!!
  90.         output reg         atm_cpm_n,    // permanent dos on
  91.         output reg         atm_pen2,     // PEN2 - fucking palette mode, NOT inverted!!!
  92.  
  93.         output wire        romrw_en, // from port BF
  94.  
  95.  
  96.         output wire        pent1m_ram0_0, // d3.eff7
  97.         output wire        pent1m_1m_on,  // d2.eff7
  98.         output wire [ 5:0] pent1m_page,   // full 1 meg page number
  99.         output wire        pent1m_ROM,     // d4.7ffd
  100.  
  101.  
  102.         output wire        atm_palwr,   // palette write strobe
  103.         output wire [ 5:0] atm_paldata, // palette write data
  104.  
  105.         output wire        covox_wr,
  106.         output wire        beeper_wr,
  107.  
  108.         output wire        fnt_wr               // write to font_ram enabled
  109.  
  110. );
  111.  
  112.  
  113.         reg rstsync1,rstsync2;
  114.  
  115.  
  116.         localparam PORTFE = 8'hFE;
  117.         localparam PORTF6 = 8'hF6;
  118.         localparam PORTF7 = 8'hF7;
  119.  
  120.         localparam NIDE10 = 8'h10;
  121.         localparam NIDE11 = 8'h11;
  122.         localparam NIDE30 = 8'h30;
  123.         localparam NIDE50 = 8'h50;
  124.         localparam NIDE70 = 8'h70;
  125.         localparam NIDE90 = 8'h90;
  126.         localparam NIDEB0 = 8'hB0;
  127.         localparam NIDED0 = 8'hD0;
  128.         localparam NIDEF0 = 8'hF0;
  129.         localparam NIDEC8 = 8'hC8;
  130.  
  131.         localparam PORTFD = 8'hFD;
  132.  
  133.         localparam VGCOM  = 8'h1F;
  134.         localparam VGTRK  = 8'h3F;
  135.         localparam VGSEC  = 8'h5F;
  136.         localparam VGDAT  = 8'h7F;
  137.         localparam VGSYS  = 8'hFF;
  138.  
  139.         localparam KJOY   = 8'h1F;
  140.         localparam KMOUSE = 8'hDF;
  141.  
  142.         localparam SDCFG  = 8'h77;
  143.         localparam SDDAT  = 8'h57;
  144.  
  145.         localparam ATMF7  = 8'hF7;
  146.         localparam ATM77  = 8'h77;
  147.  
  148.         localparam ZXEVBF = 8'hBF; // xxBF config port
  149.  
  150.         localparam COMPORT = 8'hEF; // F8EF..FFEF - rs232 ports
  151.  
  152.  
  153.         localparam COVOX   = 8'hFB;
  154.  
  155.  
  156.  
  157.  
  158.         reg external_port;
  159.  
  160.         reg port_wr;
  161.         reg port_rd;
  162.  
  163.         reg iowr_reg;
  164.         reg iord_reg;
  165.  
  166.  
  167.         reg port_wr_fclk,
  168.             port_rd_fclk,
  169.             mem_wr_fclk;
  170.  
  171.         reg [1:0] iowr_reg_fclk,
  172.                   iord_reg_fclk;
  173.  
  174.         reg [1:0] memwr_reg_fclk;
  175.  
  176.  
  177.         wire [7:0] loa;
  178.  
  179.         wire portfe_wr;
  180.  
  181.  
  182.  
  183.         wire ideout_hi_wr;
  184.         wire idein_lo_rd;
  185.         reg [7:0] idehiin; // IDE high part read register: low part is read directly to Z80 bus,
  186.                            // while high part is remembered here
  187.         reg ide_ports; // ide ports selected
  188.  
  189.         reg ide_rd_trig; // nemo-divide read trigger
  190.         reg ide_rd_latch; // to save state of trigger during read cycle
  191.  
  192.         reg ide_wrlo_trig,  ide_wrhi_trig;  // nemo-divide write triggers
  193.         reg ide_wrlo_latch, ide_wrhi_latch; // save state during write cycles
  194.  
  195.  
  196.  
  197.         reg  [15:0] idewrreg; // write register, either low or high part is pre-written here,
  198.                               // while other part is out directly from Z80 bus
  199.  
  200.         wire [ 7:0] iderdeven; // to control read data from "even" ide ports (all except #11)
  201.         wire [ 7:0] iderdodd;  // read data from "odd" port (#11)
  202.  
  203.  
  204.  
  205.         reg pre_bc1,pre_bdir;
  206.  
  207.         wire gluclock_on;
  208.  
  209.  
  210.  
  211.         reg  shadow_en_reg; //bit0.xxBF
  212.         reg   romrw_en_reg; //bit1.xxBF
  213.         reg  fntw_en_reg;       //bit2.xxBF
  214.  
  215.         wire shadow;
  216.  
  217.  
  218.  
  219.  
  220.  
  221.         assign shadow = dos || shadow_en_reg;
  222.  
  223.  
  224.  
  225.  
  226.  
  227.  
  228.         assign loa=a[7:0];
  229.  
  230.         always @*
  231.         begin
  232.                 if( (loa==PORTFE) || (loa==PORTF6) ||
  233.                     (loa==PORTFD) ||
  234.  
  235.                     (loa==NIDE10) || (loa==NIDE11) || (loa==NIDE30) || (loa==NIDE50) || (loa==NIDE70) ||
  236.                     (loa==NIDE90) || (loa==NIDEB0) || (loa==NIDED0) || (loa==NIDEF0) || (loa==NIDEC8) ||
  237.  
  238.                     (loa==KMOUSE) ||
  239.  
  240.                     ( (loa==VGCOM)&&shadow ) || ( (loa==VGTRK)&&shadow ) || ( (loa==VGSEC)&&shadow ) || ( (loa==VGDAT)&&shadow ) ||
  241.                     ( (loa==VGSYS)&&shadow ) || ( (loa==KJOY)&&(!shadow) ) ||
  242.  
  243.                     ( (loa==PORTF7)&&(!shadow) ) || ( (loa==SDCFG)&&(!shadow) ) || ( (loa==SDDAT) ) ||
  244.  
  245.                     ( (loa==ATMF7)&&shadow ) || ( (loa==ATM77)&&shadow ) ||
  246.  
  247.                     ( loa==ZXEVBF ) || ( loa==COMPORT )
  248.                   )
  249.  
  250.  
  251.  
  252.                         porthit = 1'b1;
  253.                 else
  254.                         porthit = 1'b0;
  255.         end
  256.  
  257.         always @*
  258.         begin
  259.                 if( ((loa==PORTFD) && (a[15:14]==2'b11)) || // 0xFFFD ports
  260.                     (( (loa==VGCOM)&&shadow ) || ( (loa==VGTRK)&&shadow ) || ( (loa==VGSEC)&&shadow ) || ( (loa==VGDAT)&&shadow )) ) // vg93 ports
  261.                         external_port = 1'b1;
  262.                 else
  263.                         external_port = 1'b0;
  264.         end
  265.  
  266.         assign dataout = porthit & (~iorq_n) & (~rd_n) & (~external_port);
  267.  
  268.  
  269.  
  270.         // this is zclk-synchronous strobes
  271.         always @(posedge zclk)
  272.         begin
  273.                 iowr_reg <= ~(iorq_n | wr_n);
  274.                 iord_reg <= ~(iorq_n | rd_n);
  275.  
  276.                 if( (!iowr_reg) && (!iorq_n) && (!wr_n) )
  277.                         port_wr <= 1'b1;
  278.                 else
  279.                         port_wr <= 1'b0;
  280.  
  281.  
  282.                 if( (!iord_reg) && (!iorq_n) && (!rd_n) )
  283.                         port_rd <= 1'b1;
  284.                 else
  285.                         port_rd <= 1'b0;
  286.         end
  287.  
  288.  
  289.  
  290.  
  291.         // fclk-synchronous stobes
  292.         //
  293.         always @(posedge fclk) if( zpos )
  294.         begin
  295.                 iowr_reg_fclk[0] <= ~(iorq_n | wr_n);
  296.                 iord_reg_fclk[0] <= ~(iorq_n | rd_n);
  297.         end
  298.  
  299.         always @(posedge fclk)
  300.         begin
  301.                 iowr_reg_fclk[1] <= iowr_reg_fclk[0];
  302.                 iord_reg_fclk[1] <= iord_reg_fclk[0];
  303.         end
  304.  
  305.         always @(posedge fclk)
  306.         begin
  307.                 port_wr_fclk <= iowr_reg_fclk[0] && (!iowr_reg_fclk[1]);
  308.                 port_rd_fclk <= iord_reg_fclk[0] && (!iord_reg_fclk[1]);
  309.         end
  310.  
  311.         always @(posedge fclk)
  312.                 memwr_reg_fclk[1:0] <= { memwr_reg_fclk[0], ~(mreq_n | wr_n) };
  313.  
  314.         always @(posedge fclk)
  315.                 mem_wr_fclk <= memwr_reg_fclk[0] && (!memwr_reg_fclk[1]);
  316.  
  317.  
  318.  
  319.         // dout data
  320.         always @*
  321.         begin
  322.                 case( loa )
  323.                 PORTFE:
  324.                         dout = { 1'b1, tape_read, 1'b0, keys_in };
  325.                 PORTF6:
  326.                         dout = { 1'b1, tape_read, 1'b0, keys_in };
  327.  
  328.  
  329.                 NIDE10,NIDE30,NIDE50,NIDE70,NIDE90,NIDEB0,NIDED0,NIDEF0,NIDEC8:
  330.                         dout = iderdeven;
  331.                 NIDE11:
  332.                         dout = iderdodd;
  333.  
  334.  
  335.                 //PORTFD:
  336.  
  337.                 VGSYS:
  338.                         dout = { vg_intrq, vg_drq, 6'b111111 };
  339.  
  340.                 KJOY:
  341.                         dout = {3'b000, kj_in};
  342.                 KMOUSE:
  343.                         dout = mus_in;
  344.  
  345.                 SDCFG:
  346.                         dout = 8'h00; // always SD inserted, SD is in R/W mode
  347.                 SDDAT:
  348.                         dout = sd_dataout;
  349.  
  350.  
  351.                 PORTF7: begin
  352.                         if( !a[14] && (a[8]^shadow) && gluclock_on ) // $BFF7 - data i/o
  353.                                 dout = wait_read;
  354.                         else // any other $xxF7 port
  355.                                 dout = 8'hFF;
  356.                 end
  357.  
  358.                 COMPORT: begin
  359.                         dout = wait_read; // $F8EF..$FFEF
  360.                 end
  361.  
  362.  
  363.  
  364.                 default:
  365.                         dout = 8'hFF;
  366.                 endcase
  367.         end
  368.  
  369.  
  370.  
  371.         assign portfe_wr    = (((loa==PORTFE) || (loa==PORTF6)) && port_wr);
  372.         assign portfd_wr    = ( (loa==PORTFD) && port_wr);
  373.  
  374.         // F7 ports (like EFF7) are accessible in shadow mode but at addresses like EEF7, DEF7, BEF7 so that
  375.         // there are no conflicts in shadow mode with ATM xFF7 and x7F7 ports
  376.         assign portf7_wr    = ( (loa==PORTF7) && (a[8]==1'b1) && port_wr && (!shadow) ) ||
  377.                               ( (loa==PORTF7) && (a[8]==1'b0) && port_wr &&   shadow  ) ;
  378.  
  379.         assign portf7_rd    = ( (loa==PORTF7) && (a[8]==1'b1) && port_rd && (!shadow) ) ||
  380.                               ( (loa==PORTF7) && (a[8]==1'b0) && port_rd &&   shadow  ) ;
  381.  
  382.         assign vg_wrFF = ( ( (loa==VGSYS)&&shadow ) && port_wr);
  383.  
  384.         assign comport_wr   = ( (loa==COMPORT) && port_wr);
  385.         assign comport_rd   = ( (loa==COMPORT) && port_rd);
  386.  
  387.  
  388.  
  389.         //border port FE
  390.         wire portwe_wr_fclk;
  391.  
  392.         assign portfe_wr_fclk = (((loa==PORTFE) || (loa==PORTF6)) && port_wr_fclk);
  393.  
  394.         always @(posedge fclk)
  395.         if( portfe_wr_fclk )
  396.                 border <= { ~a[3], din[2:0] };
  397.  
  398.  
  399.  
  400.  
  401.  
  402.  
  403.         // IDE ports
  404.  
  405.         // IDE physical ports (that go to IDE device)
  406.         always @(loa)
  407.                 case( loa )
  408.                 NIDE10,NIDE30,NIDE50,NIDE70,NIDE90,NIDEB0,NIDED0,NIDEF0,NIDEC8: ide_ports = 1'b1;
  409.                 default: ide_ports = 1'b0;
  410.                 endcase
  411.  
  412.  
  413.         assign idein_lo_rd  = port_rd && (loa==NIDE10) && (!ide_rd_trig);
  414.  
  415.         // control read & write triggers, which allow nemo-divide mod to work.
  416.         //
  417.         // read trigger:
  418.         always @(posedge zclk)
  419.         begin
  420.                 if( (loa==NIDE10) && port_rd && !ide_rd_trig )
  421.                         ide_rd_trig <= 1'b1;
  422.                 else if( ( ide_ports || (loa==NIDE11) ) && ( port_rd || port_wr ) )
  423.                         ide_rd_trig <= 1'b0;
  424.         end
  425.         //
  426.         // two triggers for write sequence...
  427.         always @(posedge zclk)
  428.         if( ( ide_ports || (loa==NIDE11) ) && ( port_rd || port_wr ) )
  429.         begin
  430.                 if( (loa==NIDE11) && port_wr )
  431.                         ide_wrhi_trig <= 1'b1;
  432.                 else
  433.                         ide_wrhi_trig <= 1'b0;
  434.                 //
  435.                 if( (loa==NIDE10) && port_wr && !ide_wrhi_trig && !ide_wrlo_trig )
  436.                         ide_wrlo_trig <= 1'b1;
  437.                 else
  438.                         ide_wrlo_trig <= 1'b0;
  439.         end
  440.  
  441.         // normal read: #10(low), #11(high)
  442.         // divide read: #10(low), #10(high)
  443.         //
  444.         // normal write: #11(high), #10(low)
  445.         // divide write: #10(low),  #10(high)
  446.  
  447.  
  448.         always @(posedge zclk)
  449.         begin
  450.                 if( port_wr && (loa==NIDE11) )
  451.                         idewrreg[15:8] <= din;
  452.  
  453.                 if( port_wr && (loa==NIDE10) && !ide_wrlo_trig )
  454.                         idewrreg[ 7:0] <= din;
  455.         end
  456.  
  457.  
  458.  
  459.  
  460.         always @(posedge zclk)
  461.         if( idein_lo_rd )
  462.                         idehiin <= idein[15:8];
  463.  
  464.  
  465.         assign ide_a = a[7:5];
  466.  
  467.  
  468.         // This is unknown shit... Probably need more testing with old WD
  469.         // drives WITHOUT this commented fix.
  470.         //
  471.         // trying to fix old WD drives...
  472.         //assign ide_cs0_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa!=NIDEC8));
  473.         //assign ide_cs1_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa==NIDEC8));
  474.         // fix ends...
  475.  
  476.  
  477.         assign ide_cs0_n = (~ide_ports) | (~(loa!=NIDEC8));
  478.         assign ide_cs1_n = (~ide_ports) | (~(loa==NIDEC8));
  479.  
  480.  
  481.         // generate read cycles for IDE as usual, except for reading #10
  482.         // instead of #11 for high byte (nemo-divide). I use additional latch
  483.         // since 'ide_rd_trig' clears during second Z80 IO read cycle to #10
  484.         always @* if( rd_n ) ide_rd_latch <= ide_rd_trig;
  485.         //
  486.         assign ide_rd_n = iorq_n | rd_n | (~ide_ports) | (ide_rd_latch && (loa==NIDE10));
  487.  
  488.         always @* if( wr_n ) ide_wrlo_latch <= ide_wrlo_trig; // same for write triggers
  489.         always @* if( wr_n ) ide_wrhi_latch <= ide_wrhi_trig; //
  490.         //
  491.         assign ide_wr_n = iorq_n | wr_n | (~ide_ports) | ( (loa==NIDE10) && !ide_wrlo_latch && !ide_wrhi_latch );
  492.                                                   // do NOT generate IDE write, if neither of ide_wrhi|lo latches
  493.                                                   // set and writing to NIDE10
  494.  
  495.  
  496.  
  497.         assign idedataout = ide_rd_n;
  498.  
  499.  
  500.  
  501.         // data read by Z80 from IDE
  502.         //
  503.         assign iderdodd[ 7:0] = idehiin[ 7:0];
  504.         //
  505.         assign iderdeven[ 7:0] = (ide_rd_latch && (loa==NIDE10)) ? idehiin[ 7:0] : idein[ 7:0];
  506.  
  507.         // data written to IDE from Z80
  508.         //
  509.         assign ideout[15:8] = ide_wrhi_latch ? idewrreg[15:8] : din[ 7:0];
  510.         assign ideout[ 7:0] = ide_wrlo_latch ? idewrreg[ 7:0] : din[ 7:0];
  511.  
  512.  
  513.  
  514.  
  515.  
  516.  
  517.  
  518.         // AY control
  519.         always @*
  520.         begin
  521.                 pre_bc1 = 1'b0;
  522.                 pre_bdir = 1'b0;
  523.  
  524.                 if( loa==PORTFD )
  525.                 begin
  526.                         if( a[15:14]==2'b11 )
  527.                         begin
  528.                                 pre_bc1=1'b1;
  529.                                 pre_bdir=1'b1;
  530.                         end
  531.                         else if( a[15:14]==2'b10 )
  532.                         begin
  533.                                 pre_bc1=1'b0;
  534.                                 pre_bdir=1'b1;
  535.                         end
  536.                 end
  537.         end
  538.  
  539.         assign ay_bc1  = pre_bc1  & (~iorq_n) & ((~rd_n)|(~wr_n));
  540.         assign ay_bdir = pre_bdir & (~iorq_n) & (~wr_n);
  541.  
  542.  
  543.  
  544.         // 7FFD port
  545.         reg [7:0] p7ffd_int,peff7_int;
  546.         reg p7ffd_rom_int;
  547.         wire block7ffd;
  548.         wire block1m;
  549.  
  550.         always @(posedge zclk, negedge rst_n)
  551.         begin
  552.                 if( !rst_n )
  553.                         p7ffd_int <= 7'h00;
  554.                 else if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  555.                         p7ffd_int <= din; // 2..0 - page, 3 - screen, 4 - rom, 5 - block48k, 6..7 -
  556.         end
  557.  
  558.         always @(posedge zclk)
  559.         begin
  560.                 if( rstsync2 )
  561.                         p7ffd_rom_int <= rstrom[0];
  562.                 else if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  563.                         p7ffd_rom_int <= din[4];
  564.         end
  565.  
  566.         assign block7ffd=p7ffd_int[5] & block1m;
  567.  
  568.  
  569.         // EFF7 port
  570.         always @(posedge zclk, negedge rst_n)
  571.         begin
  572.                 if( !rst_n )
  573.                         peff7_int <= 8'h00;
  574.                 else if( !a[12] && portf7_wr && (!shadow) ) // EEF7 in shadow mode is abandoned!
  575.                         peff7_int <= din; // 4 - turbooff, 0 - p16c on, 2 - block1meg
  576.         end
  577.         assign block1m = peff7_int[2];
  578.  
  579.         assign p7ffd = { (block1m ? 3'b0 : p7ffd_int[7:5]),p7ffd_rom_int,p7ffd_int[3:0]};
  580.  
  581.         assign peff7 = block1m ? { peff7_int[7], 1'b0, peff7_int[5], peff7_int[4], 3'b000, peff7_int[0] } : peff7_int;
  582.  
  583.  
  584.         assign pent1m_ROM       = p7ffd_int[4];
  585.         assign pent1m_page[5:0] = { p7ffd_int[7:5], p7ffd_int[2:0] };
  586.         assign pent1m_1m_on     = ~peff7_int[2];
  587.         assign pent1m_ram0_0    = peff7_int[3];
  588.  
  589.  
  590.  
  591.  
  592.         // gluclock ports (bit7:eff7 is above)
  593.  
  594.         assign gluclock_on = peff7_int[7] || shadow; // in shadow mode EEF7 is abandoned: instead, gluclock access
  595.                                                      // is ON forever in shadow mode.
  596.  
  597.         always @(posedge zclk)
  598.         begin
  599.                 if( gluclock_on && portf7_wr ) // gluclocks on
  600.                 begin
  601.                         if( !a[13] ) // $DFF7 - addr reg
  602.                                 gluclock_addr <= din;
  603.  
  604.                         // write to waiting register is not here - in separate section managing wait_write
  605.                 end
  606.         end
  607.  
  608.  
  609.         // comports
  610.  
  611.         always @(posedge zclk)
  612.         begin
  613.                 if( comport_wr || comport_rd )
  614.                         comport_addr <= a[10:8 ];
  615.         end
  616.  
  617.  
  618.  
  619.         // write to wait registers
  620.         always @(posedge zclk)
  621.         begin
  622.                 // gluclocks
  623.                 if( gluclock_on && portf7_wr && !a[14] ) // $BFF7 - data reg
  624.                         wait_write <= din;
  625.                 // com ports
  626.                 else if( comport_wr ) // $F8EF..$FFEF - comports
  627.                         wait_write <= din;
  628.         end
  629.  
  630.         // wait from wait registers
  631.         //
  632.         // ACHTUNG!!!! here portxx_wr are ON Z80 CLOCK! logic must change when moving to fclk strobes
  633.         //
  634.         assign wait_start_gluclock = ( gluclock_on && !a[14] && (portf7_rd || portf7_wr) ); // $BFF7 - gluclock r/w
  635.         //
  636.         assign wait_start_comport = ( comport_rd || comport_wr );
  637.         //
  638.         //
  639.         always @(posedge zclk) // wait rnw - only meanful during wait
  640.         begin
  641.                 if( port_wr )
  642.                         wait_rnw <= 1'b0;
  643.  
  644.                 if( port_rd )
  645.                         wait_rnw <= 1'b1;
  646.         end
  647.  
  648.  
  649.  
  650.  
  651.  
  652.         // VG93 control
  653.         assign vg_cs_n =  (~shadow) | iorq_n | (rd_n & wr_n) | ( ~((loa==VGCOM)|(loa==VGTRK)|(loa==VGSEC)|(loa==VGDAT)) );
  654.  
  655.  
  656.  
  657.  
  658.  
  659. // reset rom selection
  660.  
  661.         always @(posedge zclk)
  662.         begin
  663.                 rstsync1<=~rst_n;
  664.                 rstsync2<=rstsync1;
  665.         end
  666.  
  667.  
  668.  
  669.  
  670. // SD card (z-controlâ••r compatible)
  671.  
  672.         wire sdcfg_wr,sddat_wr,sddat_rd;
  673.  
  674.         assign sdcfg_wr = ( (loa==SDCFG) && port_wr && (!shadow) )                  ||
  675.                           ( (loa==SDDAT) && port_wr &&   shadow  && (a[15]==1'b1) ) ;
  676.  
  677.         assign sddat_wr = ( (loa==SDDAT) && port_wr && (!shadow) )                  ||
  678.                           ( (loa==SDDAT) && port_wr &&   shadow  && (a[15]==1'b0) ) ;
  679.  
  680.         assign sddat_rd = ( (loa==SDDAT) && port_rd              );
  681.  
  682.         // SDCFG write - sdcs_n control
  683.         always @(posedge zclk, negedge rst_n)
  684.         begin
  685.                 if( !rst_n )
  686.                         sdcs_n <= 1'b1;
  687.                 else // posedge zclk
  688.                         if( sdcfg_wr )
  689.                                 sdcs_n <= din[1];
  690.         end
  691.  
  692.  
  693.         // start signal for SPI module with resyncing to fclk
  694.  
  695.         reg sd_start_toggle;
  696.         reg [2:0] sd_stgl;
  697.  
  698.         // Z80 clock
  699.         always @(posedge zclk)
  700.                 if( sddat_wr || sddat_rd )
  701.                         sd_start_toggle <= ~sd_start_toggle;
  702.  
  703.         // FPGA clock
  704.         always @(posedge fclk)
  705.                 sd_stgl[2:0] <= { sd_stgl[1:0], sd_start_toggle };
  706.  
  707.         assign sd_start = ( sd_stgl[1] != sd_stgl[2] );
  708.  
  709.  
  710.         // data for SPI module
  711.         assign sd_datain = wr_n ? 8'hFF : din;
  712.  
  713.  
  714.  
  715.  
  716.  
  717.  
  718.  
  719. /////////////////////////////////////////////////////////////////////////////////////////////////
  720.  
  721.         ///////////////
  722.         // ATM ports //
  723.         ///////////////
  724.  
  725.         wire atm77_wr_fclk;
  726.         wire zxevbf_wr_fclk;
  727.  
  728.         assign atmF7_wr_fclk = ( (loa==ATMF7) && (a[8]==1'b1) && shadow && port_wr_fclk ); // xFF7 and x7F7 ports, NOT xEF7!
  729.         assign atm77_wr_fclk = ( (loa==ATM77) && shadow && port_wr_fclk );
  730.  
  731.         assign zxevbf_wr_fclk = ( (loa==ZXEVBF) && port_wr_fclk );
  732.  
  733.  
  734.         // port BF write
  735.         //
  736.         always @(posedge fclk, negedge rst_n)
  737.         if( !rst_n )
  738.         begin
  739.                 shadow_en_reg = 1'b0;
  740.                 romrw_en_reg  = 1'b0;
  741.                 fntw_en_reg   = 1'b0;
  742.         end
  743.         else if( zxevbf_wr_fclk )
  744.         begin
  745.                 shadow_en_reg <= din[0];
  746.                 romrw_en_reg  <= din[1];
  747.                 fntw_en_reg   <= din[2];
  748.         end
  749.  
  750.         assign romrw_en = romrw_en_reg;
  751.  
  752.  
  753.  
  754.         // port xx77 write
  755.         always @(posedge fclk, negedge rst_n)
  756.         if( !rst_n )
  757.         begin
  758.                 atm_scr_mode = 3'b011;
  759.                 atm_turbo    = 1'b1;
  760.  
  761.                 atm_pen =   1'b1; // no manager,
  762.                 atm_cpm_n = 1'b0; // permanent dosen (shadow ports on)
  763.  
  764.  
  765.                 atm_pen2     = 1'b0;
  766.         end
  767.         else if( atm77_wr_fclk )
  768.         begin
  769.                 atm_scr_mode <= din[2:0];
  770.                 atm_turbo    <= din[3];
  771.                 atm_pen      <= ~a[8];
  772.                 atm_cpm_n    <=  a[9];
  773.                 atm_pen2     <= ~a[14];
  774.         end
  775.  
  776.  
  777.         // atm palette strobe and data
  778.         wire vg_wrFF_fclk;
  779.  
  780.         assign vg_wrFF_fclk = ( ( (loa==VGSYS)&&shadow ) && port_wr_fclk);
  781.  
  782.  
  783.         assign atm_palwr = vg_wrFF_fclk & atm_pen2;
  784.  
  785.         assign atm_paldata = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] };
  786.  
  787.  
  788.  
  789.  
  790.  
  791.  
  792.         // covox/beeper writes
  793.  
  794.         assign beeper_wr = (loa==PORTFE) && portfe_wr_fclk;
  795.         assign covox_wr  = (loa==COVOX) && port_wr_fclk;
  796.  
  797.  
  798.  
  799.         // font write enable
  800.         assign fnt_wr = fntw_en_reg && mem_wr_fclk;
  801.  
  802.  
  803. endmodule
  804.  
  805.