Subversion Repositories pentevo

Rev

Rev 4 | Rev 39 | Go to most recent revision | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed

  1. `include "../include/tune.v"
  2.  
  3. module zports(
  4.  
  5.         input clk,   // z80 clock
  6.         input fclk,  // global FPGA clock
  7.         input rst_n, // system reset
  8.  
  9.         input      [7:0] din,
  10.         output reg [7:0] dout,
  11.         output dataout,
  12.         input [15:0] a,
  13.  
  14.         input iorq_n,
  15.         input mreq_n,
  16.         input m1_n,
  17.         input rd_n,
  18.         input wr_n,
  19.  
  20.         output reg porthit, // when internal port hit occurs, this is 1, else 0; used for iorq1_n iorq2_n on zxbus
  21.  
  22.         output reg [15:0] ideout,
  23.         input      [15:0] idein,
  24.         output     idedataout, // IDE must IN data from IDE device when idedataout=0, else it OUTs
  25.         output [2:0] ide_a,
  26.         output ide_cs0_n,
  27.         output ide_cs1_n,
  28.         output ide_rd_n,
  29.         output ide_wr_n,
  30.  
  31.  
  32.         input [4:0] keyout,
  33.  
  34.         output reg [2:0] border,
  35.         output reg beep,
  36.  
  37.         output reg dos,
  38.  
  39.  
  40.         output ay_bdir,
  41.         output ay_bc1,
  42.  
  43.         output [7:0] p7ffd,
  44.         output [7:0] peff7,
  45.  
  46.         input [1:0] rstrom,
  47.  
  48.         output vg_cs_n,
  49.         input vg_intrq,vg_drq, // from vg93 module - drq + irq read
  50.         output vg_wrFF,        // write strobe of #FF port
  51.  
  52.         output reg sdcs_n,
  53.         output sd_start,
  54.         output [7:0] sd_datain,
  55.         input [7:0] sd_dataout
  56.  
  57. );
  58.  
  59.  
  60.         reg rstsync1,rstsync2;
  61.  
  62.  
  63.         localparam PORTFE = 8'hFE;
  64.         localparam PORTF7 = 8'hF7;
  65.  
  66.         localparam NIDE10 = 8'h10;
  67.         localparam NIDE11 = 8'h11;
  68.         localparam NIDE30 = 8'h30;
  69.         localparam NIDE50 = 8'h50;
  70.         localparam NIDE70 = 8'h70;
  71.         localparam NIDE90 = 8'h90;
  72.         localparam NIDEB0 = 8'hB0;
  73.         localparam NIDED0 = 8'hD0;
  74.         localparam NIDEF0 = 8'hF0;
  75.         localparam NIDEC8 = 8'hC8;
  76.  
  77.         localparam PORTFD = 8'hFD;
  78.  
  79.         localparam VGCOM  = 8'h1F;
  80.         localparam VGTRK  = 8'h3F;
  81.         localparam VGSEC  = 8'h5F;
  82.         localparam VGDAT  = 8'h7F;
  83.         localparam VGSYS  = 8'hFF;
  84.  
  85.         localparam KJOY   = 8'h1F;
  86.         localparam KMOUSE = 8'hDF;
  87.  
  88.         localparam SDCFG  = 8'h77;
  89.         localparam SDDAT  = 8'h57;
  90.  
  91.  
  92.         reg external_port;
  93.  
  94.         reg port_wr;
  95.         reg port_rd;
  96.  
  97.       reg iowr_reg;
  98.       reg iord_reg;
  99.  
  100.         wire [7:0] loa;
  101.  
  102.  
  103.         wire portfe_wr;
  104.  
  105.  
  106.         wire ideout_hi_wr;
  107.         wire idein_lo_rd;
  108.         reg [7:0] idehiin;
  109.         reg ide_ports; // ide ports selected
  110.  
  111.  
  112.         reg pre_bc1,pre_bdir;
  113.  
  114.  
  115.  
  116.  
  117.         assign loa=a[7:0];
  118.  
  119.         always @*
  120.         begin
  121.                 if( (loa==PORTFE) || (loa==PORTFD) || (loa==PORTF7) || (loa==NIDE10) || (loa==NIDE11) || (loa==NIDE30) ||
  122.                     (loa==NIDE50) || (loa==NIDE70) || (loa==NIDE90) || (loa==NIDEB0) || (loa==NIDED0) || (loa==NIDEF0) ||
  123.                     (loa==NIDEC8) ||
  124.  
  125.                     ( (loa==VGCOM)&&dos ) || ( (loa==VGTRK)&&dos ) || ( (loa==VGSEC)&&dos ) || ( (loa==VGDAT)&&dos ) ||
  126.                     ( (loa==VGSYS)&&dos ) || ( (loa==KJOY)&&(!dos) ) ||
  127.  
  128.                     (loa==KMOUSE) || (loa==SDCFG) || (loa==SDDAT) )
  129.  
  130.                         porthit = 1'b1;
  131.                 else
  132.                         porthit = 1'b0;
  133.         end
  134.  
  135.         always @*
  136.         begin
  137.                 if( ((loa==PORTFD) && (a[15:14]==2'b11)) || // 0xFFFD ports
  138.                     (( (loa==VGCOM)&&dos ) || ( (loa==VGTRK)&&dos ) || ( (loa==VGSEC)&&dos ) || ( (loa==VGDAT)&&dos )) ) // vg93 ports
  139.                         external_port = 1'b1;
  140.                 else
  141.                         external_port = 1'b0;
  142.         end
  143.  
  144.         assign dataout = porthit & (~iorq_n) & (~rd_n) & (~external_port);
  145.  
  146.  
  147.  
  148.  
  149.         always @(posedge clk)
  150.         begin
  151.                 iowr_reg <= ~(iorq_n | wr_n);
  152.                 iord_reg <= ~(iorq_n | rd_n);
  153.  
  154.                 if( (!iowr_reg) && (!iorq_n) && (!wr_n) )
  155.                         port_wr <= 1'b1;
  156.                 else
  157.                         port_wr <= 1'b0;
  158.  
  159.  
  160.                 if( (!iord_reg) && (!iorq_n) && (!rd_n) )
  161.                         port_rd <= 1'b1;
  162.                 else
  163.                         port_rd <= 1'b0;
  164.         end
  165.  
  166.  
  167.         // dout data
  168.         always @*
  169.         begin
  170.                 case( loa )
  171.                 PORTFE:
  172.                         dout = { 1'b1, 1'b0/*tape_in*/, 1'b0, keyout };
  173.  
  174.  
  175.                 NIDE10,NIDE30,NIDE50,NIDE70,NIDE90,NIDEB0,NIDED0,NIDEF0,NIDEC8:
  176.                         dout = idein[7:0];
  177.                 NIDE11:
  178.                         dout = idehiin;
  179.  
  180.  
  181.                 //PORTFD:
  182.  
  183.                 VGSYS:
  184.                         dout = { vg_intrq, vg_drq, 6'b111111 };
  185.  
  186.                 KJOY:
  187.                         dout = 8'h00;
  188.                 KMOUSE:
  189.                         dout = 8'hFF;
  190.  
  191.                 SDCFG:
  192.                         dout = 8'h00; // always SD inserted, SD is on R/W mode // FIXME!FIXME!FIXME!FIXME!FIXME!
  193.                 SDDAT:
  194.                         dout = sd_dataout;
  195.  
  196.  
  197.                 default:
  198.                         dout = 8'hFF;
  199.                 endcase
  200.         end
  201.  
  202.  
  203.  
  204.         assign portfe_wr    = ( (loa==PORTFE) && port_wr);
  205.         assign portfd_wr    = ( (loa==PORTFD) && port_wr);
  206.         assign portf7_wr    = ( (loa==PORTF7) && port_wr);
  207.  
  208.         assign ideout_hi_wr = ( (loa==NIDE11) && port_wr);
  209.         assign idein_lo_rd  = ( (loa==NIDE10) && port_rd);
  210.  
  211.         assign vg_wrFF = ( ( (loa==VGSYS)&&dos ) && port_wr);
  212.  
  213.  
  214.         //port FE beep/border bit
  215.         always @(posedge clk)
  216.         begin
  217.                 if( portfe_wr )
  218.                 begin
  219.                         beep <= din[4];
  220.                         border <= din[2:0];
  221.                 end
  222.         end
  223.  
  224.  
  225.         // IDE ports
  226.  
  227.         always @*
  228.                 ideout[7:0] = din;
  229.  
  230.         always @(posedge clk)
  231.         begin
  232.                 if( ideout_hi_wr )
  233.                         ideout[15:8] <= din;
  234.  
  235.                 if( idein_lo_rd )
  236.                         idehiin <= idein[15:8];
  237.         end
  238.  
  239.         always @*
  240.                 case( loa )
  241.                 NIDE10,NIDE30,NIDE50,NIDE70,NIDE90,NIDEB0,NIDED0,NIDEF0,NIDEC8: ide_ports = 1'b1;
  242.                 default: ide_ports = 1'b0;
  243.                 endcase
  244.  
  245.         assign ide_a = a[7:5];
  246.         // trying to fix old WD drives...
  247. //      assign ide_cs0_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa!=NIDEC8));
  248. //      assign ide_cs1_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa==NIDEC8));
  249.         assign ide_cs0_n = (~ide_ports) | (~(loa!=NIDEC8));
  250.         assign ide_cs1_n = (~ide_ports) | (~(loa==NIDEC8));
  251.         // fix ends...
  252.         assign ide_rd_n = iorq_n | rd_n | (~ide_ports);
  253.         assign ide_wr_n = iorq_n | wr_n | (~ide_ports);
  254.         assign idedataout = ide_rd_n;
  255.  
  256.  
  257.         // AY control
  258.         always @*
  259.         begin
  260.                 pre_bc1 = 1'b0;
  261.                 pre_bdir = 1'b0;
  262.  
  263.                 if( loa==PORTFD )
  264.                 begin
  265.                         if( a[15:14]==2'b11 )
  266.                         begin
  267.                                 pre_bc1=1'b1;
  268.                                 pre_bdir=1'b1;
  269.                         end
  270.                         else if( a[15:14]==2'b10 )
  271.                         begin
  272.                                 pre_bc1=1'b0;
  273.                                 pre_bdir=1'b1;
  274.                         end
  275.                 end
  276.         end
  277.  
  278.         assign ay_bc1  = pre_bc1  & (~iorq_n) & ((~rd_n)|(~wr_n));
  279.         assign ay_bdir = pre_bdir & (~iorq_n) & (~wr_n);
  280.  
  281.  
  282.  
  283.         // 7FFD port
  284.         reg [7:0] p7ffd_int,peff7_int;
  285.         reg p7ffd_rom_int;
  286.         wire block7ffd;
  287.         wire block1m;
  288.  
  289.         always @(posedge clk, negedge rst_n)
  290.         begin
  291.                 if( !rst_n )
  292.                         p7ffd_int <= 7'h00;
  293.                 else if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  294.                         p7ffd_int <= din; // 2..0 - page, 3 - screen, 4 - rom, 5 - block48k, 6..7 -
  295.         end
  296.  
  297.         always @(posedge clk)
  298.         begin
  299.                 if( rstsync2 )
  300.                         p7ffd_rom_int <= rstrom[0];
  301.                 else if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  302.                         p7ffd_rom_int <= din[4];
  303.         end
  304.  
  305.  
  306.         assign block7ffd=p7ffd_int[5] & block1m;
  307.  
  308.         // EFF7 port
  309.         always @(posedge clk, negedge rst_n)
  310.         begin
  311.                 if( !rst_n )
  312.                         peff7_int <= 8'h00;
  313.                 else if( (a[15:8]==8'hEF) && portf7_wr && (!block1m) )
  314.                         peff7_int <= din; // 4 - turbooff, 0 - p16c on, 2 - block1meg
  315.         end
  316.         assign block1m = peff7_int[2];
  317.  
  318.         assign p7ffd = block7ffd ? { 8'b00010000 } : { (block1m ? 3'b0 : p7ffd_int[7:5]),p7ffd_rom_int,p7ffd_int[3:0]};
  319.  
  320.         // video modes + turbo are always modifiable. page0 ram is being blocked off
  321.         assign peff7 = block1m ? { 2'b00, peff7_int[5], peff7_int[4], 3'b000, peff7_int[0] } : peff7_int;
  322.  
  323.  
  324.  
  325.         // VG93 control
  326.         assign vg_cs_n =  (~dos) | iorq_n | (rd_n & wr_n) | ( ~((loa==VGCOM)|(loa==VGTRK)|(loa==VGSEC)|(loa==VGDAT)) );
  327.  
  328.  
  329.  
  330.         // dos on-off
  331.  
  332.         always @(posedge clk)
  333.         begin
  334.                 if( rstsync2 )
  335.                         dos <= ~rstrom[1];
  336.                 else if( (!mreq_n) && (!m1_n) )
  337.                 begin
  338.                         if( (a[15:8]==8'h3D) && p7ffd[4] )
  339.                                 dos <= 1'b1;
  340.                         else if( a[15:14]!=2'b00 )
  341.                                 dos <= 1'b0;
  342.                 end
  343.         end
  344.  
  345.  
  346. // reset rom selection
  347.  
  348.         always @(posedge clk)
  349.         begin
  350.                 rstsync1<=~rst_n;
  351.                 rstsync2<=rstsync1;
  352.         end
  353.  
  354.  
  355.  
  356.  
  357. // SD card (z-controlâ••r compatible)
  358.  
  359.         wire sdcfg_wr,sddat_wr,sddat_rd;
  360.  
  361.         assign sdcfg_wr = ( (loa==SDCFG) && port_wr);
  362.         assign sddat_wr = ( (loa==SDDAT) && port_wr);
  363.         assign sddat_rd = ( (loa==SDDAT) && port_rd);
  364.  
  365.         // SDCFG write - sdcs_n control
  366.         always @(posedge clk, negedge rst_n)
  367.         begin
  368.                 if( !rst_n )
  369.                         sdcs_n <= 1'b1;
  370.                 else // posedge clk
  371.                         if( sdcfg_wr )
  372.                                 sdcs_n <= din[1];
  373.         end
  374.  
  375.  
  376.         // start signal for SPI module with resyncing to fclk
  377.  
  378.         reg sd_start_toggle;
  379.         reg [2:0] sd_stgl;
  380.  
  381.         // Z80 clock
  382.         always @(posedge clk)
  383.                 if( sddat_wr || sddat_rd )
  384.                         sd_start_toggle <= ~sd_start_toggle;
  385.  
  386.         // FPGA clock
  387.         always @(posedge fclk)
  388.                 sd_stgl[2:0] <= { sd_stgl[1:0], sd_start_toggle };
  389.  
  390.         assign sd_start = ( sd_stgl[1] != sd_stgl[2] );
  391.  
  392.  
  393.         // data for SPI module
  394.         assign sd_datain = wr_n ? 8'hFF : din;
  395.  
  396.  
  397.  
  398. endmodule
  399.