Subversion Repositories pentevo

Rev

Rev 674 | Rev 699 | Go to most recent revision | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ZX-Evo Base Configuration (c) NedoPC 2008,2009,2010,2011,2012,2013,2014
  2. //
  3. // top-level
  4.  
  5. /*
  6.     This file is part of ZX-Evo Base Configuration firmware.
  7.  
  8.     ZX-Evo Base Configuration firmware is free software:
  9.     you can redistribute it and/or modify it under the terms of
  10.     the GNU General Public License as published by
  11.     the Free Software Foundation, either version 3 of the License, or
  12.     (at your option) any later version.
  13.  
  14.     ZX-Evo Base Configuration firmware is distributed in the hope that
  15.     it will be useful, but WITHOUT ANY WARRANTY; without even
  16.     the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  17.     See the GNU General Public License for more details.
  18.  
  19.     You should have received a copy of the GNU General Public License
  20.     along with ZX-Evo Base Configuration firmware.
  21.     If not, see <http://www.gnu.org/licenses/>.
  22. */
  23.  
  24. `include "../include/tune.v"
  25.  
  26. module top(
  27.  
  28.         // clocks
  29.         input fclk,
  30.         output clkz_out,
  31.         input clkz_in,
  32.  
  33.         // z80
  34.         input iorq_n,
  35.         input mreq_n,
  36.         input rd_n,
  37.         input wr_n,
  38.         input m1_n,
  39.         input rfsh_n,
  40.         output int_n,
  41.         output nmi_n,
  42.         output wait_n,
  43.         output res,
  44.  
  45.         inout [7:0] d,
  46.         input [15:0] a,
  47.  
  48.         // zxbus and related
  49.         output csrom,
  50.         output romoe_n,
  51.         output romwe_n,
  52.  
  53.         output rompg0_n,
  54.         output dos_n, // aka rompg1
  55.         output rompg2,
  56.         output rompg3,
  57.         output rompg4,
  58.  
  59.         input iorqge1,
  60.         input iorqge2,
  61.         output iorq1_n,
  62.         output iorq2_n,
  63.  
  64.         // DRAM
  65.         inout [15:0] rd,
  66.         output [9:0] ra,
  67.         output rwe_n,
  68.         output rucas_n,
  69.         output rlcas_n,
  70.         output rras0_n,
  71.         output rras1_n,
  72.  
  73.         // video
  74.         output [1:0] vred,
  75.         output [1:0] vgrn,
  76.         output [1:0] vblu,
  77.  
  78.         output vhsync,
  79.         output vvsync,
  80.         output vcsync,
  81.  
  82.         // AY control and audio/tape
  83.         output ay_clk,
  84.         output ay_bdir,
  85.         output ay_bc1,
  86.  
  87.         output beep,
  88.  
  89.         // IDE
  90.         output [2:0] ide_a,
  91.         inout [15:0] ide_d,
  92.  
  93.         output ide_dir,
  94.  
  95.         input ide_rdy,
  96.  
  97.         output ide_cs0_n,
  98.         output ide_cs1_n,
  99.         output ide_rs_n,
  100.         output ide_rd_n,
  101.         output ide_wr_n,
  102.  
  103.         // VG93 and diskdrive
  104.         output vg_clk,
  105.  
  106.         output vg_cs_n,
  107.         output vg_res_n,
  108.  
  109.         output vg_hrdy,
  110.         output vg_rclk,
  111.         output vg_rawr,
  112.         output [1:0] vg_a, // disk drive selection
  113.         output vg_wrd,
  114.         output vg_side,
  115.  
  116.         input step,
  117.         input vg_sl,
  118.         input vg_sr,
  119.         input vg_tr43,
  120.         input rdat_b_n,
  121.         input vg_wf_de,
  122.         input vg_drq,
  123.         input vg_irq,
  124.         input vg_wd,
  125.  
  126.         // serial links (atmega-fpga, sdcard)
  127.         output sdcs_n,
  128.         output sddo,
  129.         output sdclk,
  130.         input sddi,
  131.  
  132.         input spics_n,
  133.         input spick,
  134.         input spido,
  135.         output spidi,
  136.         output spiint_n
  137. );
  138.  
  139.         wire dos;
  140.  
  141.  
  142.         wire zclk; // z80 clock for short
  143.  
  144.         wire zpos,zneg;
  145.  
  146.         wire rst_n; // global reset
  147.  
  148.         wire rrdy;
  149.         wire [15:0] rddata;
  150.  
  151.         wire [4:0] rompg;
  152.  
  153.         wire [7:0] zports_dout;
  154.         wire zports_dataout;
  155.         wire porthit;
  156.  
  157.  
  158.         wire [39:0] kbd_data;
  159.         wire [ 7:0] mus_data;
  160.         wire kbd_stb,mus_xstb,mus_ystb,mus_btnstb,kj_stb;
  161.  
  162.         wire [ 4:0] kbd_port_data;
  163.         wire [ 4:0] kj_port_data;
  164.         wire [ 7:0] mus_port_data;
  165.  
  166.  
  167.  
  168.  
  169.         wire [7:0] wait_read,wait_write;
  170.         wire wait_rnw;
  171.         wire wait_start_gluclock;
  172.         wire wait_start_comport;
  173.         wire wait_end;
  174.         wire [7:0] gluclock_addr;
  175.         wire [2:0] comport_addr;
  176.         wire [6:0] waits;
  177.  
  178.  
  179.  
  180.  
  181.         // config signals
  182.         wire [7:0] not_used;
  183.         wire cfg_vga_on;
  184.         //
  185.         wire [1:0] modes_raster;
  186.         wire       mode_contend_type = 1'b0; // 48/128/+2 or +2a/+3 TODO: take these signals from somewhere
  187.         wire       mode_contend_ena  = 1'b1; // contention enable
  188.         wire       contend;
  189.  
  190.         // nmi signals
  191.         wire gen_nmi;
  192.         wire clr_nmi;
  193.         wire in_nmi;
  194.         wire [1:0] set_nmi;
  195.         wire imm_nmi;
  196.  
  197.         // breakpoint signals
  198.         wire brk_ena;
  199.         wire [15:0] brk_addr;
  200.  
  201.  
  202.         wire tape_in;
  203.  
  204.         wire [15:0] ideout;
  205.         wire [15:0] idein;
  206.         wire idedataout;
  207.  
  208.  
  209.         wire [7:0] zmem_dout;
  210.         wire zmem_dataout;
  211.  
  212.  
  213.  
  214.         reg [3:0] ayclk_gen;
  215.  
  216.  
  217.         wire [7:0] received;
  218.         wire [7:0] tobesent;
  219.  
  220.  
  221.         wire intrq,drq;
  222.         wire vg_wrFF;
  223.  
  224.  
  225.         wire        up_ena;
  226.         wire [ 5:0] up_paladdr;
  227.         wire [ 7:0] up_paldata;
  228.         wire        up_palwr;
  229.  
  230.  
  231.  
  232.  
  233.         assign zclk = clkz_in;
  234.  
  235.  
  236.         // RESETTER
  237.         wire genrst;
  238.  
  239.         resetter myrst( .clk(fclk),
  240.                         .rst_in_n(~genrst),
  241.                         .rst_out_n(rst_n) );
  242.         defparam myrst.RST_CNT_SIZE = 6;
  243.  
  244.  
  245.  
  246.         assign nmi_n=gen_nmi ? 1'b0 : 1'bZ;
  247.  
  248.         assign res= ~rst_n;
  249.  
  250.  
  251.  
  252.  
  253.  
  254.  
  255.  
  256.  
  257.         assign ide_rs_n = rst_n;
  258.  
  259.         assign ide_d = idedataout ? ideout : 16'hZZZZ;
  260.         assign idein = ide_d;
  261.  
  262.         assign ide_dir = ~idedataout;
  263.  
  264.  
  265.  
  266.  
  267.  
  268.         wire [7:0] peff7;
  269.         wire [7:0] p7ffd;
  270.  
  271.  
  272.         wire romrw_en;
  273.         wire cpm_n;
  274.         wire fnt_wr;
  275.  
  276.  
  277.  
  278.         wire cpu_req,cpu_rnw,cpu_wrbsel,cpu_strobe;
  279.         wire [20:0] cpu_addr;
  280.         wire [15:0] cpu_rddata;
  281.         wire [7:0] cpu_wrdata;
  282.  
  283.         wire cbeg,post_cbeg,pre_cend,cend;
  284.  
  285.         wire go;
  286.  
  287.  
  288.         // AVR SDcard control
  289.         wire       avr_lock_claim,
  290.                    avr_lock_grant,
  291.                    avr_sdcs_n,
  292.                    avr_sd_start;
  293.         wire [7:0] avr_sd_datain;
  294.         wire [7:0] avr_sd_dataout;
  295.  
  296.         // ZX SDcard control
  297.         wire       zx_sdcs_n_val,
  298.                    zx_sdcs_n_stb,
  299.                    zx_sd_start;
  300.         wire [7:0] zx_sd_datain;
  301.         wire [7:0] zx_sd_dataout;
  302.  
  303.  
  304.         wire tape_read; // data for tapein
  305.  
  306.         wire beeper_mux; // what is mixed to FPGA beeper output - beeper (0) or tapeout (1)
  307.  
  308.         wire [2:0] atm_scr_mode;
  309.  
  310.         wire atm_turbo;
  311.  
  312.  
  313.         wire beeper_wr, covox_wr;
  314.  
  315.  
  316.  
  317.         wire [5:0] palcolor; // palette readback
  318.  
  319.  
  320.  
  321.  
  322.         wire [1:0] int_turbo;
  323.         wire cpu_next;
  324.         wire cpu_stall;
  325.  
  326.         wire external_port;
  327.  
  328.  
  329.  
  330. //AY control
  331.         always @(posedge fclk)
  332.         begin
  333.                 ayclk_gen <= ayclk_gen + 4'd1;
  334.         end
  335.  
  336.         assign ay_clk = ayclk_gen[3];
  337.  
  338.  
  339.  
  340.  
  341.  
  342.         // fix ATM2-style ROM addressing for PENT-like ROM layout.
  343.         // this causes compications when writing to the flashROM from Z80
  344.         // and need to split and re-build old ATM romfiles before burning in
  345.         // flash
  346. //      wire [1:0] adr_fix;
  347. //      assign adr_fix = ~{ rompg[0], rompg[1] };
  348. //      assign rompg0_n = ~adr_fix[0];
  349. //      assign dos_n    =  adr_fix[1];
  350. //      assign rompg2   =  1'b0;//rompg[2];
  351. //      assign rompg3   =  1'b0;//rompg[3];
  352. //      assign rompg4   =  1'b0;//rompg[4];
  353.  
  354.         assign rompg0_n = ~rompg[0];
  355.         assign dos_n    =  rompg[1];
  356.         assign rompg2   =  rompg[2];
  357.         assign rompg3   =  rompg[3];
  358.         assign rompg4   =  rompg[4];
  359.  
  360.         wire [3:0] zclk_stall;
  361.  
  362.         zclock zclock
  363.         (
  364.                 .fclk (fclk ),
  365.                 .zclk (zclk ),
  366.                 .rst_n(rst_n),
  367.  
  368.                 .a(a),
  369.  
  370.                 .mreq_n(mreq_n),
  371.                 .iorq_n(iorq_n),
  372.                 .m1_n  (m1_n  ),
  373.                 .rfsh_n(rfsh_n),
  374.  
  375.                 .modes_raster     (modes_raster     ),
  376.                 .mode_contend_type(mode_contend_type),
  377.                 .mode_contend_ena (mode_contend_ena ),
  378.                 .mode_7ffd_bits   (p7ffd[2:0]       ),
  379.                 .contend          (contend          ),
  380.  
  381.                 .zclk_out(clkz_out),
  382.  
  383.                 .zpos(zpos),
  384.                 .zneg(zneg),
  385.  
  386.  
  387.                 .pre_cend(pre_cend),
  388.                 .cbeg    (cbeg    ),
  389.  
  390.                 .zclk_stall( cpu_stall | (|zclk_stall) ),
  391.                 .turbo     ( {atm_turbo,~(peff7[4])}   ),
  392.                 .int_turbo (int_turbo                  ),
  393.                
  394.                 .external_port(external_port)
  395.         );
  396.  
  397.  
  398.  
  399.         wire [7:0] dout_ram;
  400.         wire ena_ram;
  401.         wire [7:0] dout_ports;
  402.         wire ena_ports;
  403.  
  404.  
  405.         wire [3:0] border;
  406.  
  407.         wire drive_ff;
  408.  
  409.  
  410.         wire       atm_palwr;
  411.         wire [5:0] atm_paldata;
  412.  
  413.         wire [7:0] fontrom_readback;
  414.  
  415.  
  416.  
  417.  
  418.         wire int_start;
  419.  
  420.  
  421.         // data bus out: either RAM data or internal ports data or 0xFF with unused ports
  422.         assign d = ena_ram ? dout_ram : ( ena_ports ? dout_ports : ( drive_ff ? 8'hFF : 8'bZZZZZZZZ ) );
  423.  
  424.  
  425.  
  426.  
  427.         zbus zxbus( .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .m1_n(m1_n),
  428.                     .iorq1_n(iorq1_n), .iorq2_n(iorq2_n), .iorqge1(iorqge1), .iorqge2(iorqge2),
  429.                     .porthit(porthit), .drive_ff(drive_ff) );
  430.  
  431.  
  432.  
  433.  
  434.         /////////////////////////////////////
  435.         // ATM memory pagers instantiation //
  436.         /////////////////////////////////////
  437.  
  438.         wire pager_off;
  439.  
  440.         wire        pent1m_ROM;
  441.         wire [ 5:0] pent1m_page;
  442.         wire        pent1m_ram0_0;
  443.         wire        pent1m_1m_on;
  444.  
  445.         wire atmF7_wr_fclk;
  446.  
  447.         wire [3:0] dos_turn_off,
  448.                    dos_turn_on;
  449.  
  450.         wire [ 7:0] page [0:3];
  451.         wire [ 3:0] romnram;
  452.         wire [ 3:0] wrdisable;
  453.  
  454.         // for reading back data via xxBE port
  455.         wire [ 7:0] rd_pages [0:7];
  456.         wire [ 7:0] rd_ramnrom;
  457.         wire [ 7:0] rd_dos7ffd;
  458.         wire [ 7:0] rd_wrdisables;
  459.  
  460.         generate
  461.  
  462.                 genvar i;
  463.  
  464.                 for(i=0;i<4;i=i+1)
  465.                 begin : instantiate_atm_pagers
  466.                         atm_pager #( .ADDR(i) ) atm_pager
  467.                         (
  468.                                 .rst_n(rst_n),
  469.                                 .fclk (fclk),
  470.                                 .zpos (zpos),
  471.                                 .zneg (zneg),
  472.                                
  473.                                 .za(a),
  474.                                 .zd(d),
  475.                                 .mreq_n(mreq_n),
  476.                                 .rd_n  (rd_n),
  477.                                 .m1_n  (m1_n),
  478.                                
  479.                                 .pager_off(pager_off),
  480.                                
  481.                                 .pent1m_ROM   (pent1m_ROM),
  482.                                 .pent1m_page  (pent1m_page),
  483.                                 .pent1m_ram0_0(pent1m_ram0_0),
  484.                                 .pent1m_1m_on (pent1m_1m_on),
  485.                                
  486.                                
  487.                                 .in_nmi(in_nmi),
  488.                                
  489.                                 .atmF7_wr(atmF7_wr_fclk),
  490.                                
  491.                                 .dos(dos),
  492.                                
  493.                                 .dos_turn_on (dos_turn_on[i]),
  494.                                 .dos_turn_off(dos_turn_off[i]),
  495.                                
  496.                                 .zclk_stall(zclk_stall[i]),
  497.                                
  498.                                 .page     (page[i]     ),
  499.                                 .romnram  (romnram[i]  ),
  500.                                 .wrdisable(wrdisable[i]),
  501.                                
  502.                                 .rd_page0  (rd_pages[i  ]),
  503.                                 .rd_page1  (rd_pages[i+4]),
  504.                                
  505.                                 .rd_ramnrom   ( {rd_ramnrom   [i+4], rd_ramnrom   [i]} ),
  506.                                 .rd_dos7ffd   ( {rd_dos7ffd   [i+4], rd_dos7ffd   [i]} ),
  507.                                 .rd_wrdisables( {rd_wrdisables[i+4], rd_wrdisables[i]} )
  508.                         );
  509.  
  510.                 end
  511.  
  512.         endgenerate
  513.  
  514.  
  515.         ///////////////////////////
  516.         // DOS signal controller //
  517.         ///////////////////////////
  518.  
  519.         zdos zdos( .rst_n(rst_n),
  520.  
  521.                    .fclk(fclk),
  522.  
  523.                    .dos_turn_on ( |dos_turn_on  ),
  524.                    .dos_turn_off( |dos_turn_off ),
  525.  
  526.                    .cpm_n(cpm_n),
  527.  
  528.                    .dos(dos)
  529.                  );
  530.  
  531.  
  532.  
  533.  
  534.         ///////////////////////////
  535.         // Z80 memory controller //
  536.         ///////////////////////////
  537.  
  538.         zmem z80mem
  539.         (
  540.                 .fclk (fclk ),
  541.                 .rst_n(rst_n),
  542.                
  543.                 .zpos(zpos),
  544.                 .zneg(zneg),
  545.  
  546.                 .cbeg     (cbeg     ),
  547.                 .post_cbeg(post_cbeg),
  548.                 .pre_cend (pre_cend ),
  549.                 .cend     (cend     ),
  550.                
  551.                 .za    (a       ),
  552.                 .zd_in (d       ),
  553.                 .zd_out(dout_ram),
  554.                 .zd_ena(ena_ram ),
  555.                 .m1_n  (m1_n    ),
  556.                 .rfsh_n(rfsh_n  ),
  557.                 .iorq_n(iorq_n  ),
  558.                 .mreq_n(mreq_n  ),
  559.                 .rd_n  (rd_n    ),
  560.                 .wr_n  (wr_n    ),
  561.  
  562.                 .win0_romnram(romnram[0]),
  563.                 .win1_romnram(romnram[1]),
  564.                 .win2_romnram(romnram[2]),
  565.                 .win3_romnram(romnram[3]),
  566.  
  567.                 .win0_page(page[0]),
  568.                 .win1_page(page[1]),
  569.                 .win2_page(page[2]),
  570.                 .win3_page(page[3]),
  571.  
  572.                 .win0_wrdisable(wrdisable[0]),
  573.                 .win1_wrdisable(wrdisable[1]),
  574.                 .win2_wrdisable(wrdisable[2]),
  575.                 .win3_wrdisable(wrdisable[3]),
  576.  
  577.                 .romrw_en(romrw_en),
  578.  
  579.                 .rompg  (rompg  ),
  580.                 .romoe_n(romoe_n),
  581.                 .romwe_n(romwe_n),
  582.                 .csrom  (csrom  ),
  583.  
  584.                 .cpu_req   (cpu_req   ),
  585.                 .cpu_rnw   (cpu_rnw   ),
  586.                 .cpu_wrbsel(cpu_wrbsel),
  587.                 .cpu_strobe(cpu_strobe),
  588.                 .cpu_addr  (cpu_addr  ),
  589.                 .cpu_wrdata(cpu_wrdata),
  590.                 .cpu_rddata(cpu_rddata),
  591.                 .cpu_stall (cpu_stall ),
  592.                 .cpu_next  (cpu_next  ),
  593.  
  594.                 .int_turbo(int_turbo)
  595.         );
  596.  
  597.  
  598.  
  599.  
  600.         wire [20:0] daddr;
  601.         wire dreq;
  602.         wire drnw;
  603.         wire [15:0] drddata;
  604.         wire [15:0] dwrdata;
  605.         wire [1:0] dbsel;
  606.  
  607.  
  608.  
  609.  
  610.         dram dram( .clk(fclk),
  611.                    .rst_n(rst_n),
  612.  
  613.                    .addr(daddr),
  614.                    .req(dreq),
  615.                    .rnw(drnw),
  616.                    .cbeg(cbeg),
  617.                    .rrdy(drrdy),
  618.                    .rddata(drddata),
  619.                    .wrdata(dwrdata),
  620.                    .bsel(dbsel),
  621.  
  622.                    .ra(ra),
  623.                    .rd(rd),
  624.                    .rwe_n(rwe_n),
  625.                    .rucas_n(rucas_n),
  626.                    .rlcas_n(rlcas_n),
  627.                    .rras0_n(rras0_n),
  628.                    .rras1_n(rras1_n)
  629.                  );
  630.  
  631.  
  632.         wire [1:0] bw;
  633.  
  634.         wire [20:0] video_addr;
  635.         wire [15:0] video_data;
  636.         wire video_strobe;
  637.         wire video_next;
  638.  
  639.         arbiter dramarb( .clk(fclk),
  640.                          .rst_n(rst_n),
  641.  
  642.                          .dram_addr(daddr),
  643.                          .dram_req(dreq),
  644.                          .dram_rnw(drnw),
  645.                          .dram_cbeg(cbeg),
  646.                          .dram_rrdy(drrdy),
  647.                          .dram_bsel(dbsel),
  648.                          .dram_rddata(drddata),
  649.                          .dram_wrdata(dwrdata),
  650.  
  651.                          .post_cbeg(post_cbeg),
  652.                          .pre_cend (pre_cend ),
  653.                          .cend     (cend     ),
  654.  
  655.                          .go(go),
  656.                          .bw(bw),
  657.  
  658.                          .video_addr(video_addr),
  659.                          .video_data(video_data),
  660.                          .video_strobe(video_strobe),
  661.                          .video_next(video_next),
  662.  
  663.                          //.cpu_waitcyc(cpu_waitcyc),
  664.                          .cpu_next (cpu_next),
  665.                          .cpu_req(cpu_req),
  666.                          .cpu_rnw(cpu_rnw),
  667.                          .cpu_addr(cpu_addr),
  668.                          .cpu_wrbsel(cpu_wrbsel),
  669.                          .cpu_wrdata(cpu_wrdata),
  670.                          .cpu_rddata(cpu_rddata),
  671.                          .cpu_strobe(cpu_strobe) );
  672.  
  673.         video_top video_top
  674.         (
  675.                 .clk(fclk),
  676.  
  677.                 .vred(vred),
  678.                 .vgrn(vgrn),
  679.                 .vblu(vblu),
  680.                 .vhsync(vhsync),
  681.                 .vvsync(vvsync),
  682.                 .vcsync(vcsync),
  683.  
  684.                 .zxborder(border),
  685.  
  686.                 .pent_vmode( {peff7[0],peff7[5]} ),
  687.                 .atm_vmode (atm_scr_mode),
  688.  
  689.                 .scr_page(p7ffd[3]),
  690.  
  691.                 .vga_on(cfg_vga_on),
  692.  
  693.                 .modes_raster     (modes_raster     ),
  694.                 .mode_contend_type(mode_contend_type),
  695.                
  696.                 .contend(contend),
  697.  
  698.                 .cbeg     (cbeg     ),
  699.                 .post_cbeg(post_cbeg),
  700.                 .pre_cend (pre_cend ),
  701.                 .cend     (cend     ),
  702.  
  703.                 .video_go    (go          ),
  704.                 .video_bw    (bw          ),
  705.                 .video_addr  (video_addr  ),
  706.                 .video_data  (video_data  ),
  707.                 .video_strobe(video_strobe),
  708.                 .video_next  (video_next  ),
  709.  
  710.                 .atm_palwr  (atm_palwr  ),
  711.                 .atm_paldata(atm_paldata),
  712.                
  713.                 .up_ena    (up_ena    ),
  714.                 .up_paladdr(up_paladdr),
  715.                 .up_paldata(up_paldata),
  716.                 .up_palwr  (up_palwr  ),
  717.  
  718.                 .int_start(int_start),
  719.  
  720.                 .fnt_a (a[10:0]),
  721.                 .fnt_d (d      ),
  722.                 .fnt_wr(fnt_wr ),
  723.  
  724.                 .palcolor(palcolor),
  725.  
  726.                 .fontrom_readback(fontrom_readback)
  727.         );
  728.  
  729.  
  730.         slavespi slavespi(
  731.                 .fclk(fclk), .rst_n(rst_n),
  732.  
  733.                 .spics_n(spics_n), .spidi(spidi),
  734.                 .spido(spido), .spick(spick),
  735.                 .status_in({/* wait_rnw */ wr_n, waits[6:0]}), .genrst(genrst),
  736.                 .kbd_out(kbd_data),
  737.                 .kbd_stb(kbd_stb), .mus_out(mus_data),
  738.                 .mus_xstb(mus_xstb), .mus_ystb(mus_ystb),
  739.                 .mus_btnstb(mus_btnstb), .kj_stb(kj_stb),
  740.                 .gluclock_addr(gluclock_addr),
  741.                 .comport_addr (comport_addr),
  742.                 .wait_write(wait_write),
  743.                 .wait_read(wait_read),
  744.                 .wait_rnw(wait_rnw),
  745.                 .wait_end(wait_end),
  746.                 .config0( { not_used[7:6], modes_raster, beeper_mux, tape_read, set_nmi[0], cfg_vga_on} ),
  747.  
  748.                 .sd_lock_out(avr_lock_claim),
  749.                 .sd_lock_in (avr_lock_grant),
  750.                 .sd_cs_n    (avr_sdcs_n    ),
  751.                 .sd_start   (avr_sd_start  ),
  752.                 .sd_datain  (avr_sd_datain ),
  753.                 .sd_dataout (avr_sd_dataout)
  754.         );
  755.  
  756.         zkbdmus zkbdmus( .fclk(fclk), .rst_n(rst_n),
  757.                          .kbd_in(kbd_data), .kbd_stb(kbd_stb),
  758.                          .mus_in(mus_data), .mus_xstb(mus_xstb),
  759.                          .mus_ystb(mus_ystb), .mus_btnstb(mus_btnstb),
  760.                          .kj_stb(kj_stb), .kj_data(kj_port_data),
  761.                          .zah(a[15:8]), .kbd_data(kbd_port_data),
  762.                          .mus_data(mus_port_data)
  763.                        );
  764.  
  765.  
  766.         zports zports( .zclk(zclk), .fclk(fclk), .rst_n(rst_n), .zpos(zpos), .zneg(zneg),
  767.                        .din(d), .dout(dout_ports), .dataout(ena_ports),
  768.                        .a(a), .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .porthit(porthit),
  769.                        .ay_bdir(ay_bdir), .ay_bc1(ay_bc1), .border(border),
  770.                        .p7ffd(p7ffd), .peff7(peff7), .mreq_n(mreq_n), .m1_n(m1_n), .dos(dos),
  771.                        .vg_intrq(intrq), .vg_drq(drq), .vg_wrFF(vg_wrFF), .vg_cs_n(vg_cs_n),
  772.                        .idein(idein), .ideout(ideout), .idedataout(idedataout),
  773.                        .ide_a(ide_a), .ide_cs0_n(ide_cs0_n), .ide_cs1_n(ide_cs1_n),
  774.                        .ide_wr_n(ide_wr_n), .ide_rd_n(ide_rd_n),
  775.  
  776.                        .sd_cs_n_val(zx_sdcs_n_val),
  777.                        .sd_cs_n_stb(zx_sdcs_n_stb),
  778.                        .sd_start   (zx_sd_start  ),
  779.                        .sd_datain  (zx_sd_datain ),
  780.                        .sd_dataout (zx_sd_dataout),
  781.  
  782.                        .keys_in(kbd_port_data),
  783.                        .mus_in (mus_port_data),
  784.                        .kj_in  (kj_port_data ),
  785.  
  786.                        .tape_read(tape_read),
  787.  
  788.                        .gluclock_addr(gluclock_addr),
  789.                        .comport_addr (comport_addr ),
  790.                        .wait_start_gluclock(wait_start_gluclock),
  791.                        .wait_start_comport (wait_start_comport ),
  792.                        .wait_rnw  (wait_rnw  ),
  793.                        .wait_write(wait_write),
  794.                        .wait_read (wait_read ),
  795.                
  796.                 .atmF7_wr_fclk(atmF7_wr_fclk),
  797.  
  798.                 .atm_scr_mode(atm_scr_mode),
  799.                 .atm_turbo   (atm_turbo),
  800.                 .atm_pen     (pager_off),
  801.                 .atm_cpm_n   (cpm_n),
  802.                 .atm_pen2    (atm_pen2),
  803.  
  804.                 .romrw_en(romrw_en),
  805.  
  806.                 .pent1m_ram0_0(pent1m_ram0_0),
  807.                 .pent1m_1m_on (pent1m_1m_on),
  808.                 .pent1m_page  (pent1m_page),
  809.                 .pent1m_ROM   (pent1m_ROM),
  810.  
  811.                 .atm_palwr  (atm_palwr  ),
  812.                 .atm_paldata(atm_paldata),
  813.  
  814.                 .beeper_wr(beeper_wr),
  815.                 .covox_wr (covox_wr ),
  816.  
  817.                 .fnt_wr(fnt_wr),
  818.                 .clr_nmi(clr_nmi),
  819.  
  820.  
  821.                 .pages(~{ rd_pages[7], rd_pages[6],
  822.                           rd_pages[5], rd_pages[4],
  823.                           rd_pages[3], rd_pages[2],
  824.                           rd_pages[1], rd_pages[0] }),
  825.  
  826.                 .ramnroms  ( rd_ramnrom    ),
  827.                 .dos7ffds  ( rd_dos7ffd    ),
  828.                 .wrdisables( rd_wrdisables ),
  829.  
  830.                 .palcolor(palcolor),
  831.                 .fontrom_readback(fontrom_readback),
  832.        
  833.                 .up_ena    (up_ena    ),
  834.                 .up_paladdr(up_paladdr),
  835.                 .up_paldata(up_paldata),
  836.                 .up_palwr  (up_palwr  ),
  837.  
  838.                 .external_port(external_port),
  839.  
  840.                 .set_nmi(set_nmi[1]),
  841.  
  842.                 .brk_ena (brk_ena ),
  843.                 .brk_addr(brk_addr)
  844.         );
  845.  
  846.  
  847.         zint zint(
  848.                 .fclk(fclk),
  849.                 .zpos(zpos),
  850.                 .zneg(zneg),
  851.  
  852.                 .int_start(int_start),
  853.  
  854.                 .iorq_n(iorq_n),
  855.                 .m1_n  (m1_n  ),
  856.  
  857.                 .wait_n(spiint_n), // spiint_n is 1-0 signal, wait_n is Z-0
  858.  
  859.                 .int_n(int_n)
  860.         );
  861.  
  862.         znmi znmi
  863.         (
  864.                 .rst_n(rst_n),
  865.                 .fclk(fclk),
  866.                 .zpos(zpos),
  867.                 .zneg(zneg),
  868.  
  869.                 .rfsh_n(rfsh_n),
  870.                 .m1_n  (m1_n  ),
  871.                 .mreq_n(mreq_n),
  872.                 .csrom (csrom ),
  873.                 .a     (a     ),
  874.  
  875.                 .int_start(int_start),
  876.  
  877.                 .set_nmi(set_nmi),
  878.                 .imm_nmi(imm_nmi),
  879.                 .clr_nmi(clr_nmi),
  880.  
  881.                 .in_nmi (in_nmi ),
  882.                 .gen_nmi(gen_nmi)
  883.         );
  884.  
  885.  
  886.         zbreak zbreak
  887.         (
  888.                 .rst_n(rst_n),
  889.                 .fclk(fclk),
  890.                 .zpos(zpos),
  891.                 .zneg(zneg),
  892.  
  893.                 .m1_n  (m1_n  ),
  894.                 .mreq_n(mreq_n),
  895.                 .a     (a     ),
  896.  
  897.                 .imm_nmi(imm_nmi),
  898.  
  899.                 .brk_ena (brk_ena ),
  900.                 .brk_addr(brk_addr)
  901.         );
  902.  
  903.  
  904.  
  905.  
  906.  
  907.  
  908.         zwait zwait( .wait_start_gluclock(wait_start_gluclock),
  909.                      .wait_start_comport (wait_start_comport),
  910.                      .wait_end(wait_end),
  911.                      .rst_n(rst_n),
  912.                      .wait_n(wait_n),
  913.                      .waits(waits),
  914.                      .spiint_n(spiint_n) );
  915.  
  916.  
  917.  
  918.  
  919.         wire [1:0] vg_ddrv;
  920.         assign vg_a[0] = vg_ddrv[0] ? 1'b1 : 1'b0; // possibly open drain?
  921.         assign vg_a[1] = vg_ddrv[1] ? 1'b1 : 1'b0;
  922.  
  923.         vg93 vgshka( .zclk(zclk), .rst_n(rst_n), .fclk(fclk), .vg_clk(vg_clk),
  924.                      .vg_res_n(vg_res_n), .din(d), .intrq(intrq), .drq(drq), .vg_wrFF(vg_wrFF),
  925.                      .vg_hrdy(vg_hrdy), .vg_rclk(vg_rclk), .vg_rawr(vg_rawr), .vg_a(vg_ddrv),
  926.                      .vg_wrd(vg_wrd), .vg_side(vg_side), .step(step), .vg_sl(vg_sl), .vg_sr(vg_sr),
  927.                      .vg_tr43(vg_tr43), .rdat_n(rdat_b_n), .vg_wf_de(vg_wf_de), .vg_drq(vg_drq),
  928.                      .vg_irq(vg_irq), .vg_wd(vg_wd) );
  929.  
  930.  
  931.  
  932.  
  933. //      spi2 zspi( .clock(fclk), .sck(sdclk), .sdo(sddo), .sdi(sddi), .start(sd_start),
  934. //                 .speed(2'b00), .din(sd_datain), .dout(sd_dataout) );
  935.         spihub spihub(
  936.  
  937.                 .fclk (fclk ),
  938.                 .rst_n(rst_n),
  939.  
  940.                 .sdcs_n(sdcs_n),
  941.                 .sdclk (sdclk ),
  942.                 .sddo  (sddo  ),
  943.                 .sddi  (sddi  ),
  944.  
  945.                 .zx_sdcs_n_val(zx_sdcs_n_val),
  946.                 .zx_sdcs_n_stb(zx_sdcs_n_stb),
  947.                 .zx_sd_start  (zx_sd_start  ),
  948.                 .zx_sd_datain (zx_sd_datain ),
  949.                 .zx_sd_dataout(zx_sd_dataout),
  950.  
  951.                 .avr_lock_in   (avr_lock_claim),
  952.                 .avr_lock_out  (avr_lock_grant),
  953.                 .avr_sdcs_n    (avr_sdcs_n    ),
  954.                 .avr_sd_start  (avr_sd_start  ),
  955.                 .avr_sd_datain (avr_sd_datain ),
  956.                 .avr_sd_dataout(avr_sd_dataout)
  957.  
  958.  
  959.         );
  960.  
  961.  
  962.  
  963.  
  964.  
  965.           //////////////////////////////////////
  966.          // sound: beeper, tapeout and covox //
  967.         //////////////////////////////////////
  968.  
  969.         sound sound(
  970.  
  971.                 .clk(fclk),
  972.  
  973.                 .din(d),
  974.  
  975.                 .beeper_wr(beeper_wr),
  976.                 .covox_wr (covox_wr ),
  977.  
  978.                 .beeper_mux(beeper_mux),
  979.  
  980.                 .sound_bit(beep)
  981.         );
  982.  
  983.  
  984. endmodule
  985.  
  986.