Subversion Repositories pentevo

Rev

Rev 668 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ZX-Evo Base Configuration (c) NedoPC 2008,2009,2010,2011,2012,2013,2014
  2. //
  3. // manages ZX-bus IORQ-IORQGE stuff and free bus content
  4.  
  5. /*
  6.     This file is part of ZX-Evo Base Configuration firmware.
  7.  
  8.     ZX-Evo Base Configuration firmware is free software:
  9.     you can redistribute it and/or modify it under the terms of
  10.     the GNU General Public License as published by
  11.     the Free Software Foundation, either version 3 of the License, or
  12.     (at your option) any later version.
  13.  
  14.     ZX-Evo Base Configuration firmware is distributed in the hope that
  15.     it will be useful, but WITHOUT ANY WARRANTY; without even
  16.     the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  17.     See the GNU General Public License for more details.
  18.  
  19.     You should have received a copy of the GNU General Public License
  20.     along with ZX-Evo Base Configuration firmware.
  21.     If not, see <http://www.gnu.org/licenses/>.
  22. */
  23.  
  24. `include "../include/tune.v"
  25.  
  26. module zbus(
  27.  
  28.         input iorq_n,
  29.         input rd_n,
  30.         input wr_n,
  31.         input m1_n,
  32.  
  33.         output iorq1_n,
  34.         output iorq2_n,
  35.  
  36.         input iorqge1,
  37.         input iorqge2,
  38.  
  39.         input porthit,
  40.  
  41.         output drive_ff
  42. );
  43.  
  44.  
  45.  
  46.         assign iorq1_n = iorq_n | porthit;
  47.  
  48.         assign iorq2_n = iorq1_n | iorqge1;
  49.  
  50.         assign drive_ff = ( (~(iorq2_n|iorqge2)) & (~rd_n) ) | (~(m1_n|iorq_n));
  51.  
  52.  
  53.  
  54.  
  55.  
  56. endmodule
  57.