Subversion Repositories pentevo

Rev

Rev 543 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // full zxevo ROM here. ATM paging: bas48(0)/trdos(1)/bas128(2)/gluk(3)
  2.  
  3. module rom(
  4.         input [18:0] addr,
  5.         output reg [7:0] data,
  6.         input ce_n
  7. );
  8.  
  9.  
  10.         wire [7:0] word;
  11.  
  12. `ifdef SPITEST
  13.         spitest_rom spitest_rom( .in_addr(addr), .out_word(word) );
  14. `else
  15.  `ifdef NMITEST
  16.         nmitest_rom nmitest_rom( .in_addr(addr), .out_word(word) );
  17.  `else
  18.         bin2v zxevo_rom( .in_addr(addr), .out_word(word) );
  19.  `endif
  20. `endif
  21.  
  22.         always @*
  23.         begin
  24.                 if( !ce_n )
  25.                         data = word;
  26.                 else
  27.                         data = 8'bZZZZZZZZ;
  28.  
  29.         end
  30.  
  31.  
  32.  
  33.  
  34. endmodule
  35.  
  36.