Subversion Repositories pentevo

Rev

Rev 684 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ZX-Evo Base Configuration (c) NedoPC 2008,2009,2010,2011,2012,2013,2014
  2. //
  3. // ATM-like memory pager (it pages specific 16kb region)
  4. //  with additions to support 4m addressable memory
  5. //  and pent1m mode.
  6. //
  7. // contain ports xFF7, x7F7, xBF7
  8.  
  9. /*
  10.     This file is part of ZX-Evo Base Configuration firmware.
  11.  
  12.     ZX-Evo Base Configuration firmware is free software:
  13.     you can redistribute it and/or modify it under the terms of
  14.     the GNU General Public License as published by
  15.     the Free Software Foundation, either version 3 of the License, or
  16.     (at your option) any later version.
  17.  
  18.     ZX-Evo Base Configuration firmware is distributed in the hope that
  19.     it will be useful, but WITHOUT ANY WARRANTY; without even
  20.     the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  21.     See the GNU General Public License for more details.
  22.  
  23.     You should have received a copy of the GNU General Public License
  24.     along with ZX-Evo Base Configuration firmware.
  25.     If not, see <http://www.gnu.org/licenses/>.
  26. */
  27.  
  28. `include "../include/tune.v"
  29.  
  30. module atm_pager(
  31.  
  32.         input  wire rst_n,
  33.  
  34.         input  wire fclk,
  35.         input  wire zpos,
  36.         input  wire zneg,
  37.  
  38.  
  39.         input  wire [15:0] za, // Z80 address bus
  40.  
  41.         input  wire [ 7:0] zd, // Z80 data bus - for latching port data
  42.  
  43.         input  wire        mreq_n,rd_n,m1_n, // to track DOS turn on/turn off
  44.  
  45.  
  46.         input  wire        pager_off, // PEN as in ATM2: turns off memory paging, service ROM is everywhere
  47.  
  48.  
  49.         input  wire        pent1m_ROM,    // for memory maps switching: d4 of 7ffd
  50.         input  wire [ 5:0] pent1m_page,   // 1 megabyte from pentagon1024 addressing, from 7FFD port
  51.         input  wire        pent1m_ram0_0, // RAM0 to the window 0 from pentagon1024 mode
  52.         input  wire        pent1m_1m_on,  // 1 meg addressing of pent1m mode on
  53.  
  54.         input  wire        in_nmi, // when we are in nmi, in 0000-3FFF must be last (FFth)
  55.                                    // RAM page. analoguous to pent1m_ram0_0
  56.                                    // but has higher priority
  57.  
  58.         input  wire        atmF7_wr, // write strobe for the xxF7 ATM port
  59.  
  60.  
  61.         input  wire        dos, // indicates state of computer: also determines ROM mapping
  62.  
  63.  
  64.         output wire        dos_turn_on,  // turns on or off DOS signal
  65.         output wire        dos_turn_off, //
  66.  
  67.         output wire        zclk_stall, // stall Z80 clock during DOS turning on
  68.  
  69.         output reg  [ 7:0] page,
  70.         output reg         romnram,
  71.         output reg         wrdisable,
  72.  
  73.         // output for xxBE port read
  74.         output wire     [ 7:0] rd_page0,
  75.         output wire     [ 7:0] rd_page1,
  76.         output wire [ 1:0] rd_dos7ffd,
  77.         output wire [ 1:0] rd_ramnrom,
  78.         output wire [ 1:0] rd_wrdisables
  79. );
  80.         parameter ADDR = 2'b00;
  81.  
  82.  
  83.         reg [ 7:0] pages [0:1]; // 2 pages for each map - switched by pent1m_ROM
  84.  
  85.         reg [ 1:0] ramnrom; // ram(=1) or rom(=0)
  86.         reg [ 1:0] dos_7ffd; // =1 7ffd bits (ram) or DOS enter mode (rom) for given page
  87.  
  88.         reg [ 1:0] wrdisables; // for each map
  89.  
  90.         reg mreq_n_reg, rd_n_reg, m1_n_reg;
  91.  
  92.         wire dos_exec_stb, ram_exec_stb;
  93.  
  94.  
  95.         reg [2:0] stall_count;
  96.  
  97.  
  98.  
  99.         // output data for port xxBE
  100.         assign rd_page0 = pages[0];
  101.         assign rd_page1 = pages[1];
  102.         //
  103.         assign rd_dos7ffd = dos_7ffd;
  104.         assign rd_ramnrom = ramnrom;
  105.         assign rd_wrdisables = wrdisables;
  106.  
  107.  
  108.  
  109.         // paging function, does not set pages, ramnrom, dos_7ffd
  110.         //
  111.         always @(posedge fclk)
  112.         begin
  113.                 if( pager_off )
  114.                 begin // atm no pager mode - each window has same ROM
  115.                         romnram   <= 1'b1;
  116.                         page      <= 8'hFF;
  117.                         wrdisable <= 1'b0;
  118.                 end
  119.                 else // pager on
  120.                 begin
  121.                         if( (ADDR==2'b00) && (pent1m_ram0_0 || in_nmi) ) // pent ram0 OR nmi
  122.                         begin
  123.                                 wrdisable <= 1'b0;
  124.  
  125.                                 if( in_nmi )
  126.                                 begin
  127.                                         romnram <= 1'b0;
  128.                                         page    <= 8'hFF;
  129.                                 end
  130.                                 else // if( pent1m_ram0_0 )
  131.                                 begin
  132.                                         romnram <= 1'b0;
  133.                                         page    <= 8'd0;
  134.                                 end
  135.                         end
  136.                         else
  137.                         begin
  138.                                 wrdisable <= wrdisables[ pent1m_ROM ];
  139.  
  140.                                 romnram <= ~ramnrom[ pent1m_ROM ];
  141.  
  142.                                 if( dos_7ffd[ pent1m_ROM ] ) // 7ffd memmap switching
  143.                                 begin
  144.                                         if( ramnrom[ pent1m_ROM ] )
  145.                                         begin // ram map
  146.                                                 if( pent1m_1m_on )
  147.                                                 begin // map whole Mb from 7ffd to atm pages
  148.                                                         page <= { pages[ pent1m_ROM ][7:6], pent1m_page[5:0] };
  149.                                                 end
  150.                                                 else //128k like in atm2
  151.                                                 begin
  152.                                                         page <= { pages[ pent1m_ROM ][7:3], pent1m_page[2:0] };
  153.                                                 end
  154.                                         end
  155.                                         else // rom map with dos
  156.                                         begin
  157.                                                 page <= { pages[ pent1m_ROM ][7:1], dos };
  158.                                         end
  159.                                 end
  160.                                 else // no 7ffd impact
  161.                                 begin
  162.                                         page <= pages[ pent1m_ROM ];
  163.                                 end
  164.                         end
  165.                 end
  166.         end
  167.  
  168.  
  169.  
  170.  
  171.         // port reading: sets pages, ramnrom, dos_7ffd
  172.         //
  173.         always @(posedge fclk, negedge rst_n)
  174.         if( !rst_n )
  175.         begin
  176.                 wrdisables <= 2'b00;
  177.         end
  178.         else if( atmF7_wr )
  179.         begin
  180.                 if( za[15:14]==ADDR )
  181.                 case( {za[11],za[10]} )
  182.                         2'b10: begin // xxBF7 port -- ROM/RAM readonly bit
  183.                                 wrdisables[ pent1m_ROM ] <= zd[0];
  184.                         end
  185.  
  186.                         default: begin
  187.                                 // nothing
  188.                         end
  189.                 endcase
  190.         end
  191.         //     
  192.         always @(posedge fclk)
  193.         if( atmF7_wr )
  194.         begin
  195.                 if( za[15:14]==ADDR )
  196.                 case( {za[11],za[10]} )
  197.                         2'b11: begin // xFF7 port
  198.                                 pages   [ pent1m_ROM ] <= ~{ 2'b11, zd[5:0] };
  199.                                 ramnrom [ pent1m_ROM ] <= zd[6];
  200.                                 dos_7ffd[ pent1m_ROM ] <= zd[7];
  201.                         end
  202.  
  203.                         2'b01: begin // x7F7 port
  204.                                 pages   [ pent1m_ROM ] <= ~zd;
  205.                                 ramnrom [ pent1m_ROM ] <= 1'b1; // RAM on
  206.                                 // dos_7ffd - UNCHANGED!!! (possibility to use 7ffd 1m and 128k addressing in the whole 4m!)
  207.                         end
  208.  
  209.                         default: begin
  210.                                 // nothing
  211.                         end
  212.  
  213.                 endcase
  214.         end
  215.  
  216.  
  217.         // DOS turn on/turn off
  218.         //
  219.  
  220. `ifdef SIMULATE
  221.         initial
  222.         begin
  223.                 m1_n_reg   = 1'b1;
  224.                 mreq_n_reg = 1'b1;
  225.                 rd_n_reg   = 1'b1;
  226.  
  227.                 stall_count = 3'b000;
  228.         end
  229. `endif
  230.  
  231.         always @(posedge fclk) if( zpos )
  232.         begin
  233.                 m1_n_reg <= m1_n;
  234.         end
  235.  
  236.         always @(posedge fclk) if( zneg )
  237.         begin
  238.                 mreq_n_reg <= mreq_n;
  239.         end
  240.  
  241.  
  242.  
  243.         assign dos_exec_stb = zneg && (za[15:14]==ADDR) &&
  244.                               (!m1_n_reg) && (!mreq_n) && mreq_n_reg &&
  245.                               (za[13:8]==6'h3D) &&
  246.                               dos_7ffd[1'b1] && (!ramnrom[1'b1]) && pent1m_ROM;
  247.  
  248.         assign ram_exec_stb = zneg && (za[15:14]==ADDR) &&
  249.                               (!m1_n_reg) && (!mreq_n) && mreq_n_reg &&
  250.                               ramnrom[pent1m_ROM];
  251.  
  252.         assign dos_turn_on  = dos_exec_stb;
  253.         assign dos_turn_off = ram_exec_stb;
  254.  
  255.  
  256.         // stall Z80 for some time when dos turning on to allow ROM chip to supply new data
  257.         // this can be important at 7 or even 14 mhz. minimum stall time is
  258.         // 3 clocks @ 28 MHz
  259.         always @(posedge fclk)
  260.         begin
  261.                 // переключение в ДОС пзу происходит за полтакта z80 до того, как
  262.                 // z80 считает данные. т.е. у пзу полтакта для выдачи новых данных.
  263.                 // 3.5мгц - 140 нан, 7мгц - 70 нан, 14мгц - 35 нан.
  264.                 // для пзухи 120нс на 14мгц надо еще 3 полтакта добавить, или другими
  265.                 // словами, добавить к любой задержке на любой частоте минимум 3 такта
  266.                 // 28 мгц.
  267.                 if( dos_turn_on )
  268.                 begin
  269.                         stall_count[2] <= 1'b1; // count: 000(stop) -> 101 -> 110 -> 111 -> 000(stop)
  270.                         stall_count[0] <= 1'b1;
  271.                 end
  272.                 else if( stall_count[2] )
  273.                 begin
  274.                         stall_count[2:0] <= stall_count[2:0] + 3'd1;
  275.                 end
  276.  
  277.         end
  278.  
  279.         assign zclk_stall = dos_turn_on | stall_count[2];
  280.  
  281.  
  282.  
  283. endmodule
  284.  
  285.