Subversion Repositories pentevo

Rev

Rev 1022 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ZX-Evo Base Configuration (c) NedoPC 2008,2009,2010,2011,2012,2013,2014
  2. //
  3. // most of pentevo ports are here
  4.  
  5. /*
  6.     This file is part of ZX-Evo Base Configuration firmware.
  7.  
  8.     ZX-Evo Base Configuration firmware is free software:
  9.     you can redistribute it and/or modify it under the terms of
  10.     the GNU General Public License as published by
  11.     the Free Software Foundation, either version 3 of the License, or
  12.     (at your option) any later version.
  13.  
  14.     ZX-Evo Base Configuration firmware is distributed in the hope that
  15.     it will be useful, but WITHOUT ANY WARRANTY; without even
  16.     the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  17.     See the GNU General Public License for more details.
  18.  
  19.     You should have received a copy of the GNU General Public License
  20.     along with ZX-Evo Base Configuration firmware.
  21.     If not, see <http://www.gnu.org/licenses/>.
  22. */
  23.  
  24. `include "../include/tune.v"
  25.  
  26. module zports(
  27.  
  28.         input  wire        zclk,   // z80 clock
  29.         input  wire        fclk,  // global FPGA clock
  30.         input  wire        rst_n, // system reset
  31.  
  32.         input  wire        zpos,
  33.         input  wire        zneg,
  34.  
  35.  
  36.         input  wire [ 7:0] din,
  37.         output reg  [ 7:0] dout,
  38.         output wire        dataout,
  39.         input  wire [15:0] a,
  40.  
  41.         input  wire        iorq_n,
  42.         input  wire        mreq_n,
  43.         input  wire        m1_n,
  44.         input  wire        rd_n,
  45.         input  wire        wr_n,
  46.  
  47.         output reg         porthit, // when internal port hit occurs, this is 1, else 0; used for iorq1_n iorq2_n on zxbus
  48.         output reg         external_port, // asserts for AY and VG93 accesses
  49.  
  50.         output wire [15:0] ideout,
  51.         input  wire [15:0] idein,
  52.         output wire        idedataout, // IDE must IN data from IDE device when idedataout=0, else it OUTs
  53.         output wire [ 2:0] ide_a,
  54.         output wire        ide_cs0_n,
  55.         output wire        ide_cs1_n,
  56.         output wire        ide_rd_n,
  57.         output wire        ide_wr_n,
  58.  
  59.  
  60.         input  wire [ 4:0] keys_in, // keys (port FE)
  61.         input  wire [ 7:0] mus_in,  // mouse (xxDF)
  62.         input  wire [ 7:0] kj_in,
  63.  
  64.         output reg  [ 3:0] border,
  65.  
  66.  
  67.         input  wire        dos,
  68.  
  69.  
  70.         output wire        ay_bdir,
  71.         output wire        ay_bc1,
  72.  
  73.         output wire [ 7:0] p7ffd,
  74.         output wire [ 7:0] peff7,
  75.  
  76.         input  wire        tape_read,
  77.  
  78.         output wire        vg_cs_n,
  79.         input  wire        vg_intrq,
  80.         input  wire        vg_drq, // from vg93 module - drq + irq read
  81.         output wire        vg_wrFF_fclk, // write strobe of #FF port
  82.         output reg         vg_rdwr_fclk, // pulses when ANY port of TR-DOS controller was read or written
  83.         input  wire [ 1:0] vg_a,
  84.         input  wire        vg_res_n,
  85.         input  wire        vg_hrdy,
  86.         input  wire        vg_side,
  87.  
  88.         // FDD mask
  89.         output reg  [ 3:0] fdd_mask,
  90.  
  91.  
  92.         output wire        sd_cs_n_val,
  93.         output wire        sd_cs_n_stb,
  94.         output wire        sd_start,
  95.         output wire [ 7:0] sd_datain,
  96.         input  wire [ 7:0] sd_dataout,
  97.  
  98.         // WAIT-ports related
  99.         //
  100.         output reg  [ 7:0] gluclock_addr,
  101.         //
  102.         output reg  [ 2:0] comport_addr,
  103.         //
  104.         output wire        wait_start_gluclock, // begin wait from some ports
  105.         output wire        wait_start_comport,  //
  106.         //
  107.         output reg         wait_rnw,   // whether it was read(=1) or write(=0)
  108.         output reg  [ 7:0] wait_write,
  109.         input  wire [ 7:0] wait_read,
  110.  
  111.  
  112.         output wire        atmF7_wr_fclk, // used in atm_pager.v
  113.  
  114.  
  115.         output reg  [ 2:0] atm_scr_mode, // RG0..RG2 in docs
  116.         output reg         atm_turbo,    // turbo mode ON
  117.         output reg         atm_pen,      // pager_off in atm_pager.v, NOT inverted!!!
  118.         output reg         atm_cpm_n,    // permanent dos on
  119.         output reg         atm_pen2,     // PEN2 - fucking palette mode, NOT inverted!!!
  120.  
  121.         output wire        romrw_en, // from port BF
  122.  
  123.  
  124.         output wire        pent1m_ram0_0, // d3.eff7
  125.         output wire        pent1m_1m_on,  // d2.eff7
  126.         output wire [ 5:0] pent1m_page,   // full 1 meg page number
  127.         output wire        pent1m_ROM,     // d4.7ffd
  128.  
  129.  
  130.         output wire        atm_palwr,   // palette write strobe
  131.         output wire [ 5:0] atm_paldata, // palette write data
  132.         output wire [ 5:0] atm_paldatalow, // palette write data low bits (ATM3)
  133.         output reg         pal444_ena, // ATM3 palette on
  134.  
  135.         output wire        covox_wr,
  136.         output wire        beeper_wr,
  137.  
  138.         output wire        clr_nmi,
  139.  
  140.         output wire        fnt_wr,              // write to font_ram enabled
  141.  
  142.         // inputs from atm_pagers, to read back its config
  143.         input  wire [63:0] pages,
  144.         input  wire [ 7:0] ramnroms,
  145.         input  wire [ 7:0] dos7ffds,
  146.         input  wire [ 7:0] wrdisables,
  147.  
  148.         input  wire [ 5:0] palcolor,
  149.         input  wire [ 7:0] fontrom_readback,
  150.  
  151.         // ulaplus
  152.         output reg         up_ena,
  153.         output reg  [ 5:0] up_paladdr,
  154.         output wire [ 7:0] up_paldata,
  155.         output wire        up_palwr,
  156.  
  157.  
  158.  
  159.         // NMI generation
  160.         output reg         set_nmi,
  161.  
  162.         // break enable & address
  163.         output reg         brk_ena,
  164.         output reg  [15:0] brk_addr
  165.  
  166. );
  167.  
  168.  
  169. `define IS_NIDE_REGS(x) ( (x[2:0]==3'b000) && (x[3]!=x[4]) )
  170. `define IS_NIDE_HIGH(x) ( x[7:0]==8'h11 )
  171. `define IS_PORT_NIDE(x) ( `IS_NIDE_REGS(x) || `IS_NIDE_HIGH(x) )
  172. `define NIDE_REGS 8'h10,8'h30,8'h50,8'h70,8'h90,8'hB0,8'hD0,8'hF0, \
  173.                   8'h08,8'h28,8'h48,8'h68,8'h88,8'hA8,8'hC8,8'hE8
  174.  
  175.         localparam PORTFE = 8'hFE;
  176.         localparam PORTF6 = 8'hF6;
  177.         localparam PORTF7 = 8'hF7;
  178.  
  179.         localparam NIDE10 = 8'h10;
  180.         localparam NIDE11 = 8'h11;
  181.         localparam NIDE30 = 8'h30;
  182.         localparam NIDE50 = 8'h50;
  183.         localparam NIDE70 = 8'h70;
  184.         localparam NIDE90 = 8'h90;
  185.         localparam NIDEB0 = 8'hB0;
  186.         localparam NIDED0 = 8'hD0;
  187.         localparam NIDEF0 = 8'hF0;
  188.         localparam NIDEC8 = 8'hC8;
  189.  
  190.         localparam PORTFD = 8'hFD;
  191.  
  192.         localparam VGCOM  = 8'h1F;
  193.         localparam VGTRK  = 8'h3F;
  194.         localparam VGSEC  = 8'h5F;
  195.         localparam VGDAT  = 8'h7F;
  196.         localparam VGSYS  = 8'hFF;
  197.  
  198.         localparam KJOY   = 8'h1F;
  199.         localparam KMOUSE = 8'hDF;
  200.  
  201.         localparam SDCFG  = 8'h77;
  202.         localparam SDDAT  = 8'h57;
  203.  
  204.         localparam ATMF7  = 8'hF7;
  205.         localparam ATM77  = 8'h77;
  206.  
  207.         localparam ZXEVBF = 8'hBF; // xxBF config port
  208.        
  209.         localparam ZXEVBE = 8'hBE; // xxBE nmi-end port
  210.         localparam ZXEVBD = 8'hBD; // xxBD config-read and write port
  211.  
  212.         localparam COMPORT = 8'hEF; // F8EF..FFEF - rs232 ports
  213.  
  214.         localparam COVOX   = 8'hFB;
  215.  
  216.         localparam ULAPLUS = 8'h3B;
  217.  
  218.  
  219.  
  220.         // xxBD high part addresses
  221.         localparam BD_PG0      = 5'h00;
  222.         localparam BD_PG1      = 5'h01;
  223.         localparam BD_PG2      = 5'h02;
  224.         localparam BD_PG3      = 5'h03;
  225.         localparam BD_PG4      = 5'h04;
  226.         localparam BD_PG5      = 5'h05;
  227.         localparam BD_PG6      = 5'h06;
  228.         localparam BD_PG7      = 5'h07;
  229.         //
  230.         localparam BD_RAMNROMS = 5'h08;
  231.         localparam BD_DOS7FFDS = 5'h09;
  232.         //
  233.         localparam BD_P7FFD    = 5'h0A;
  234.         localparam BD_PEFF7    = 5'h0B;
  235.         //
  236.         localparam BD_PXX77    = 5'h0C;
  237.         //
  238.         localparam BD_COLORRD  = 5'h0D;
  239.         localparam BD_FNTRD    = 5'h0E;
  240.         //
  241.         localparam BD_BORDERRD = 5'h0F;
  242.         //
  243.         localparam BD_LOBRK    = 5'h10;
  244.         localparam BD_HIBRK    = 5'h11;
  245.         //
  246.         localparam BD_WRDISRD  = 5'h12;
  247.         //
  248.         localparam BD_FDDMASK  = 5'h13;
  249.  
  250.  
  251.  
  252.         reg port_wr;
  253.         reg port_rd;
  254.  
  255.         reg iowr_reg;
  256.         reg iord_reg;
  257.  
  258.  
  259.         reg port_wr_fclk,
  260.             port_rd_fclk,
  261.             mem_wr_fclk;
  262.  
  263.         reg [1:0] iowr_reg_fclk,
  264.                   iord_reg_fclk;
  265.  
  266.         reg [1:0] memwr_reg_fclk;
  267.  
  268.  
  269.         wire [7:0] loa;
  270.  
  271.  
  272.  
  273.  
  274.         wire ideout_hi_wr;
  275.         wire idein_lo_rd;
  276.         reg [7:0] idehiin; // IDE high part read register: low part is read directly to Z80 bus,
  277.                            // while high part is remembered here
  278.         reg ide_ports; // ide ports selected
  279.  
  280.         reg ide_rd_trig; // nemo-divide read trigger
  281.         reg ide_rd_latch; // to save state of trigger during read cycle
  282.  
  283.         reg ide_wrlo_trig,  ide_wrhi_trig;  // nemo-divide write triggers
  284.         reg ide_wrlo_latch, ide_wrhi_latch; // save state during write cycles
  285.  
  286.  
  287.  
  288.         reg  [15:0] idewrreg; // write register, either low or high part is pre-written here,
  289.                               // while other part is out directly from Z80 bus
  290.  
  291.         wire [ 7:0] iderdeven; // to control read data from "even" ide ports (all except #11)
  292.         wire [ 7:0] iderdodd;  // read data from "odd" port (#11)
  293.  
  294.  
  295.  
  296.         reg pre_bc1,pre_bdir;
  297.  
  298.         wire gluclock_on;
  299.  
  300.  
  301.  
  302.         reg  shadow_en_reg; //bit0.xxBF
  303.         reg   romrw_en_reg; //bit1.xxBF
  304.         reg  fntw_en_reg;       //bit2.xxBF
  305.  
  306.         wire shadow;
  307.  
  308.  
  309.  
  310.         reg [7:0] portbdmux;
  311.  
  312.  
  313.  
  314.         wire vg_matched_n;
  315.  
  316.  
  317.         reg [7:0] up_lastwritten;
  318.  
  319.  
  320.         assign shadow = dos || shadow_en_reg;
  321.  
  322.  
  323.  
  324.         reg [7:0] sd_rd_buffer;
  325.  
  326.  
  327.  
  328.  
  329.         assign loa=a[7:0];
  330.  
  331.         always @*
  332.         begin
  333.                 if( (loa==PORTFE) || (loa==PORTF6) ||
  334.                     (loa==PORTFD) || (loa==8'hFC)  ||
  335.  
  336.                     `IS_PORT_NIDE(loa) ||
  337. //                  (loa==NIDE10) || (loa==NIDE11) || (loa==NIDE30) || (loa==NIDE50) || (loa==NIDE70) ||
  338. //                  (loa==NIDE90) || (loa==NIDEB0) || (loa==NIDED0) || (loa==NIDEF0) || (loa==NIDEC8) ||
  339.  
  340.                     (loa==KMOUSE) ||
  341.  
  342.                     ( (loa==VGCOM)&&shadow ) || ( (loa==VGTRK)&&shadow ) || ( (loa==VGSEC)&&shadow ) || ( (loa==VGDAT)&&shadow ) ||
  343.                     ( (loa==VGSYS)&&shadow ) || ( (loa==KJOY)&&(!shadow) ) ||
  344.  
  345.                     ( (loa==PORTF7)&&(!shadow) ) || ( (loa==SDCFG)&&(!shadow) ) || ( (loa==SDDAT) ) ||
  346.  
  347.                     ( (loa==ATMF7)&&shadow ) || ( (loa==ATM77)&&shadow ) ||
  348.  
  349.                     ( loa==ZXEVBF ) || ( loa==ZXEVBE) || ( loa==ZXEVBD) || ( loa==COMPORT ) ||
  350.  
  351.                     ( loa==ULAPLUS)
  352.                   )
  353.  
  354.  
  355.  
  356.                         porthit = 1'b1;
  357.                 else
  358.                         porthit = 1'b0;
  359.         end
  360.  
  361.         always @*
  362.         begin
  363.                 if( ((loa==PORTFD) && a[15]) || // 0xBFFD/0xFFFD ports
  364.                     (( (loa==VGCOM)&&shadow ) || ( (loa==VGTRK)&&shadow ) || ( (loa==VGSEC)&&shadow ) || ( (loa==VGDAT)&&shadow )) ) // vg93 ports
  365.                         external_port = 1'b1;
  366.                 else
  367.                         external_port = 1'b0;
  368.         end
  369.  
  370.         assign dataout = porthit & (~iorq_n) & (~rd_n) & (~external_port);
  371.  
  372.  
  373.  
  374.         // this is zclk-synchronous strobes
  375.         always @(posedge zclk)
  376.         begin
  377.                 iowr_reg <= ~(iorq_n | wr_n);
  378.                 iord_reg <= ~(iorq_n | rd_n);
  379.  
  380.                 if( (!iowr_reg) && (!iorq_n) && (!wr_n) )
  381.                         port_wr <= 1'b1;
  382.                 else
  383.                         port_wr <= 1'b0;
  384.  
  385.  
  386.                 if( (!iord_reg) && (!iorq_n) && (!rd_n) )
  387.                         port_rd <= 1'b1;
  388.                 else
  389.                         port_rd <= 1'b0;
  390.         end
  391.  
  392.  
  393.  
  394.  
  395.         // fclk-synchronous stobes
  396.         //
  397.         always @(posedge fclk) if( zpos )
  398.         begin
  399.                 iowr_reg_fclk[0] <= ~(iorq_n | wr_n);
  400.                 iord_reg_fclk[0] <= ~(iorq_n | rd_n);
  401.         end
  402.  
  403.         always @(posedge fclk)
  404.         begin
  405.                 iowr_reg_fclk[1] <= iowr_reg_fclk[0];
  406.                 iord_reg_fclk[1] <= iord_reg_fclk[0];
  407.         end
  408.  
  409.         always @(posedge fclk)
  410.         begin
  411.                 port_wr_fclk <= iowr_reg_fclk[0] && (!iowr_reg_fclk[1]);
  412.                 port_rd_fclk <= iord_reg_fclk[0] && (!iord_reg_fclk[1]);
  413.         end
  414.  
  415.         always @(posedge fclk)
  416.                 memwr_reg_fclk[1:0] <= { memwr_reg_fclk[0], ~(mreq_n | wr_n) };
  417.  
  418.         always @(posedge fclk)
  419.                 mem_wr_fclk <= memwr_reg_fclk[0] && (!memwr_reg_fclk[1]);
  420.  
  421.  
  422.  
  423.         // dout data
  424.         always @*
  425.         begin
  426.                 case( loa )
  427.                 PORTFE:
  428.                         dout = { 1'b1, tape_read, 1'b0, keys_in };
  429.                 PORTF6:
  430.                         dout = { 1'b1, tape_read, 1'b0, keys_in };
  431.  
  432.  
  433.                 `NIDE_REGS:
  434.                         dout = iderdeven;
  435.                 NIDE11:
  436.                         dout = iderdodd;
  437.  
  438.  
  439.                 //PORTFD:
  440.  
  441.                 VGSYS:
  442.                         dout = { vg_intrq, vg_drq, 1'b1, (~vg_side), vg_hrdy, vg_res_n, vg_a };
  443.  
  444.                 KJOY:
  445.                         dout = kj_in;
  446.                 KMOUSE:
  447.                         dout = mus_in;
  448.  
  449.                 SDCFG:
  450.                         dout = 8'h00; // always SD inserted, SD is in R/W mode
  451.                 SDDAT:
  452.                         dout = sd_rd_buffer;
  453.  
  454.  
  455.                 PORTF7: begin
  456.                         if( !a[14] && (a[8]^shadow) && gluclock_on ) // $BFF7 - data i/o
  457.                                 dout = wait_read;
  458.                         else // any other $xxF7 port
  459.                                 dout = 8'hFF;
  460.                 end
  461.  
  462.                 COMPORT: begin
  463.                         dout = wait_read; // $F8EF..$FFEF
  464.                 end
  465.  
  466.                 ZXEVBF: begin
  467.                         dout = { 2'b00, pal444_ena, brk_ena, set_nmi, fntw_en_reg, romrw_en_reg, shadow_en_reg };
  468.                 end
  469.  
  470.                 ZXEVBD: begin
  471.                         dout = portbdmux;
  472.                 end
  473.  
  474.                 ULAPLUS: begin
  475.                         dout = up_lastwritten;
  476.                 end
  477.  
  478.  
  479.                 default:
  480.                         dout = 8'hFF;
  481.                 endcase
  482.         end
  483.  
  484.  
  485.  
  486.         assign portfd_wr    = ( (loa==PORTFD || loa==8'hFC) && port_wr);
  487.  
  488.         // F7 ports (like EFF7) are accessible in shadow mode but at addresses like EEF7, DEF7, BEF7 so that
  489.         // there are no conflicts in shadow mode with ATM xFF7 and x7F7 ports
  490.         assign portf7_wr    = ( (loa==PORTF7) && (a[8]==1'b1) && port_wr && (!shadow) ) ||
  491.                               ( (loa==PORTF7) && (a[8]==1'b0) && port_wr &&   shadow  ) ;
  492.  
  493.         assign portf7_rd    = ( (loa==PORTF7) && (a[8]==1'b1) && port_rd && (!shadow) ) ||
  494.                               ( (loa==PORTF7) && (a[8]==1'b0) && port_rd &&   shadow  ) ;
  495.  
  496. //      assign vg_wrFF = ( ( (loa==VGSYS)&&shadow ) && port_wr);
  497. //      always @(posedge zclk) if( vg_wrFF )
  498. //              vgFF <= din[5:0];
  499.  
  500.         assign comport_wr   = ( (loa==COMPORT) && port_wr);
  501.         assign comport_rd   = ( (loa==COMPORT) && port_rd);
  502.  
  503.        
  504.         assign zxevbd_wr_fclk = ( (loa==ZXEVBD) && port_wr_fclk);
  505.  
  506.  
  507.  
  508.  
  509.  
  510.         // break address write
  511.         always @(posedge fclk)
  512.         if( zxevbd_wr_fclk && a[12:9]==(BD_LOBRK>>1) )
  513.         begin
  514.                 if( !a[8] )
  515.                         brk_addr[ 7:0] <= din;
  516.                 else // a[8]==1
  517.                         brk_addr[15:8] <= din;
  518.         end
  519.  
  520.         // fdd mask write
  521.         always @(posedge fclk, negedge rst_n)
  522.         if( !rst_n )
  523.                 fdd_mask <= 4'd0;
  524.         else if( zxevbd_wr_fclk && a[12:8]==BD_FDDMASK )
  525.                 fdd_mask <= din[3:0];
  526.  
  527.  
  528.  
  529.  
  530.         //border port FE
  531.         wire portwe_wr_fclk;
  532.  
  533.         assign portfe_wr_fclk = (((loa==PORTFE) || (loa==PORTF6) || (loa==8'hFC)) && port_wr_fclk);
  534.  
  535.         always @(posedge fclk)
  536.         if( portfe_wr_fclk )
  537.                 border <= { ~a[3], din[2:0] };
  538.  
  539.  
  540.  
  541.  
  542.  
  543.  
  544.         // IDE ports
  545.  
  546.         // IDE physical ports (that go to IDE device)
  547.         always @(loa)
  548.         if( `IS_NIDE_REGS(loa) )
  549.                 ide_ports = 1'b1;
  550.         else
  551.                 ide_ports = 1'b0;
  552.  
  553.  
  554.         assign idein_lo_rd  = port_rd && (loa==NIDE10) && (!ide_rd_trig);
  555.  
  556.         // control read & write triggers, which allow nemo-divide mod to work.
  557.         //
  558.         // read trigger:
  559.         always @(posedge zclk)
  560.         begin
  561.                 if( (loa==NIDE10) && port_rd && !ide_rd_trig )
  562.                         ide_rd_trig <= 1'b1;
  563.                 else if( ( ide_ports || (loa==NIDE11) ) && ( port_rd || port_wr ) )
  564.                         ide_rd_trig <= 1'b0;
  565.         end
  566.         //
  567.         // two triggers for write sequence...
  568.         always @(posedge zclk)
  569.         if( ( ide_ports || (loa==NIDE11) ) && ( port_rd || port_wr ) )
  570.         begin
  571.                 if( (loa==NIDE11) && port_wr )
  572.                         ide_wrhi_trig <= 1'b1;
  573.                 else
  574.                         ide_wrhi_trig <= 1'b0;
  575.                 //
  576.                 if( (loa==NIDE10) && port_wr && !ide_wrhi_trig && !ide_wrlo_trig )
  577.                         ide_wrlo_trig <= 1'b1;
  578.                 else
  579.                         ide_wrlo_trig <= 1'b0;
  580.         end
  581.  
  582.         // normal read: #10(low), #11(high)
  583.         // divide read: #10(low), #10(high)
  584.         //
  585.         // normal write: #11(high), #10(low)
  586.         // divide write: #10(low),  #10(high)
  587.  
  588.  
  589.         always @(posedge zclk)
  590.         begin
  591.                 if( port_wr && (loa==NIDE11) )
  592.                         idewrreg[15:8] <= din;
  593.  
  594.                 if( port_wr && (loa==NIDE10) && !ide_wrlo_trig )
  595.                         idewrreg[ 7:0] <= din;
  596.         end
  597.  
  598.  
  599.  
  600.  
  601.         always @(posedge zclk)
  602.         if( idein_lo_rd )
  603.                         idehiin <= idein[15:8];
  604.  
  605.  
  606.         assign ide_a = a[7:5];
  607.  
  608.  
  609.         // This is unknown shit... Probably need more testing with old WD
  610.         // drives WITHOUT this commented fix.
  611.         //
  612.         // trying to fix old WD drives...
  613.         //assign ide_cs0_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa!=NIDEC8));
  614.         //assign ide_cs1_n = iorq_n | (rd_n&wr_n) | (~ide_ports) | (~(loa==NIDEC8));
  615.         // fix ends...
  616.  
  617.  
  618.         assign ide_cs0_n = (~ide_ports) | (~(loa!=NIDEC8));
  619.         assign ide_cs1_n = (~ide_ports) | (~(loa==NIDEC8));
  620.  
  621.  
  622.         // generate read cycles for IDE as usual, except for reading #10
  623.         // instead of #11 for high byte (nemo-divide). I use additional latch
  624.         // since 'ide_rd_trig' clears during second Z80 IO read cycle to #10
  625.         always @* if( rd_n ) ide_rd_latch <= ide_rd_trig;
  626.         //
  627.         assign ide_rd_n = iorq_n | rd_n | (~ide_ports) | (ide_rd_latch && (loa==NIDE10));
  628.  
  629.         always @* if( wr_n ) ide_wrlo_latch <= ide_wrlo_trig; // same for write triggers
  630.         always @* if( wr_n ) ide_wrhi_latch <= ide_wrhi_trig; //
  631.         //
  632.         assign ide_wr_n = iorq_n | wr_n | (~ide_ports) | ( (loa==NIDE10) && !ide_wrlo_latch && !ide_wrhi_latch );
  633.                                                   // do NOT generate IDE write, if neither of ide_wrhi|lo latches
  634.                                                   // set and writing to NIDE10
  635.  
  636.  
  637.  
  638. //      assign idedataout = ide_rd_n;
  639.         assign idedataout = ~ide_wr_n; // shit-fix in try to fix IDE errors
  640.         // warning: this fix kinda blind-picking, good way is to
  641.         // have idedataout lead wr or rd strobes. also good point to disable data ringing
  642.         // on ide data bus while not accessing IDE
  643.  
  644.  
  645.         // data read by Z80 from IDE
  646.         //
  647.         assign iderdodd[ 7:0] = idehiin[ 7:0];
  648.         //
  649.         assign iderdeven[ 7:0] = (ide_rd_latch && (loa==NIDE10)) ? idehiin[ 7:0] : idein[ 7:0];
  650.  
  651.         // data written to IDE from Z80
  652.         //
  653.         assign ideout[15:8] = ide_wrhi_latch ? idewrreg[15:8] : din[ 7:0];
  654.         assign ideout[ 7:0] = ide_wrlo_latch ? idewrreg[ 7:0] : din[ 7:0];
  655.  
  656.  
  657.  
  658.  
  659.  
  660.  
  661.  
  662.         // AY control
  663.         always @*
  664.         begin
  665.                 pre_bc1 = 1'b0;
  666.                 pre_bdir = 1'b0;
  667.  
  668.                 if( loa==PORTFD )
  669.                 begin
  670.                         if( a[15:14]==2'b11 )
  671.                         begin
  672.                                 pre_bc1=1'b1;
  673.                                 pre_bdir=1'b1;
  674.                         end
  675.                         else if( a[15:14]==2'b10 )
  676.                         begin
  677.                                 pre_bc1=1'b0;
  678.                                 pre_bdir=1'b1;
  679.                         end
  680.                 end
  681.         end
  682.  
  683.         assign ay_bc1  = pre_bc1  & (~iorq_n) & ((~rd_n)|(~wr_n));
  684.         assign ay_bdir = pre_bdir & (~iorq_n) & (~wr_n);
  685.  
  686.  
  687.  
  688.         // 7FFD port
  689.         reg [7:0] p7ffd_int,peff7_int;
  690.         reg p7ffd_rom_int;
  691.         wire block7ffd;
  692.         wire block1m;
  693.  
  694.         always @(posedge zclk, negedge rst_n)
  695.         begin
  696.                 if( !rst_n )
  697.                         p7ffd_int <= 7'h00;
  698.                 else if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  699.                         p7ffd_int <= din; // 2..0 - page, 3 - screen, 4 - rom, 5 - block48k, 6..7 -
  700.         end
  701.  
  702.         always @(posedge zclk, negedge rst_n)
  703.         if( !rst_n )
  704.                         p7ffd_rom_int <= 1'b0;
  705.         else
  706.                 if( (a[15]==1'b0) && portfd_wr && (!block7ffd) )
  707.                         p7ffd_rom_int <= din[4];
  708.  
  709.  
  710.         assign block7ffd=p7ffd_int[5] & block1m;
  711.  
  712.  
  713.         // EFF7 port
  714.         always @(posedge zclk, negedge rst_n)
  715.         begin
  716.                 if( !rst_n )
  717.                         peff7_int <= 8'h00;
  718.                 else if( !a[12] && portf7_wr && (!shadow) ) // EEF7 in shadow mode is abandoned!
  719.                         peff7_int <= din; // 4 - turbooff, 0 - p16c on, 2 - block1meg
  720.         end
  721.         assign block1m = peff7_int[2];
  722.  
  723.         assign p7ffd = { (block1m ? 3'b0 : p7ffd_int[7:5]),p7ffd_rom_int,p7ffd_int[3:0]};
  724.  
  725.         assign peff7 = block1m ? { peff7_int[7], 1'b0, peff7_int[5], peff7_int[4], 3'b000, peff7_int[0] } : peff7_int;
  726.  
  727.  
  728.         assign pent1m_ROM       = p7ffd_int[4];
  729.         assign pent1m_page[5:0] = { p7ffd_int[7:5], p7ffd_int[2:0] };
  730.         assign pent1m_1m_on     = ~peff7_int[2];
  731.         assign pent1m_ram0_0    = peff7_int[3];
  732.  
  733.  
  734.  
  735.  
  736.         // gluclock ports (bit7:eff7 is above)
  737.  
  738.         assign gluclock_on = peff7_int[7] || shadow; // in shadow mode EEF7 is abandoned: instead, gluclock access
  739.                                                      // is ON forever in shadow mode.
  740.  
  741.         always @(posedge zclk)
  742.         begin
  743.                 if( gluclock_on && portf7_wr ) // gluclocks on
  744.                 begin
  745.                         if( !a[13] ) // $DFF7 - addr reg
  746.                                 gluclock_addr <= din;
  747.  
  748.                         // write to waiting register is not here - in separate section managing wait_write
  749.                 end
  750.         end
  751.  
  752.  
  753.         // comports
  754.  
  755.         always @(posedge zclk)
  756.         begin
  757.                 if( comport_wr || comport_rd )
  758.                         comport_addr <= a[10:8 ];
  759.         end
  760.  
  761.  
  762.  
  763.         // write to wait registers
  764.         always @(posedge zclk)
  765.         begin
  766.                 // gluclocks
  767.                 if( gluclock_on && portf7_wr && !a[14] ) // $BFF7 - data reg
  768.                         wait_write <= din;
  769.                 // com ports
  770.                 else if( comport_wr ) // $F8EF..$FFEF - comports
  771.                         wait_write <= din;
  772.         end
  773.  
  774.         // wait from wait registers
  775.         //
  776.         // ACHTUNG!!!! here portxx_wr are ON Z80 CLOCK! logic must change when moving to fclk strobes
  777.         //
  778.         assign wait_start_gluclock = ( gluclock_on && !a[14] && (portf7_rd || portf7_wr) ); // $BFF7 - gluclock r/w
  779.         //
  780.         assign wait_start_comport = ( comport_rd || comport_wr );
  781.         //
  782.         //
  783.         always @(posedge zclk) // wait rnw - only meanful during wait
  784.         begin
  785.                 if( port_wr )
  786.                         wait_rnw <= 1'b0;
  787.  
  788.                 if( port_rd )
  789.                         wait_rnw <= 1'b1;
  790.         end
  791.  
  792.  
  793.  
  794.  
  795.  
  796.         // VG93 control
  797.         assign vg_matched_n = fdd_mask[vg_a];
  798.  
  799.         assign vg_cs_n =  vg_matched_n | (~shadow) | iorq_n | (rd_n & wr_n) | ( ~((loa==VGCOM)|(loa==VGTRK)|(loa==VGSEC)|(loa==VGDAT)) );
  800.  
  801.  
  802.  
  803.  
  804.  
  805.  
  806.  
  807.  
  808. // SD card (z-controlâ••r compatible)
  809.  
  810.         wire sdcfg_wr,sddat_wr,sddat_rd;
  811.  
  812.         assign sdcfg_wr = ( (loa==SDCFG) && port_wr_fclk && (!shadow) )                  ||
  813.                           ( (loa==SDDAT) && port_wr_fclk &&   shadow  && (a[15]==1'b1) ) ;
  814.  
  815.         assign sddat_wr = ( (loa==SDDAT) && port_wr_fclk && (!shadow) )                  ||
  816.                           ( (loa==SDDAT) && port_wr_fclk &&   shadow  && (a[15]==1'b0) ) ;
  817.  
  818.         assign sddat_rd = ( (loa==SDDAT) && port_rd_fclk              );
  819.  
  820.         // SDCFG write - sdcs_n control
  821.         assign sd_cs_n_stb = sdcfg_wr;
  822.         assign sd_cs_n_val = din[1];
  823.  
  824.  
  825.         // start signal for SPI module with resyncing to fclk
  826.  
  827.         assign sd_start = sddat_wr || sddat_rd;
  828.  
  829.         // data for SPI module
  830.         assign sd_datain = sddat_rd ? 8'hFF : din;
  831.  
  832.  
  833.         // latch SD read data to fix bug with reading SD card in 48k and 128k contention modes
  834.         always @(posedge fclk)
  835.         if( sd_start )
  836.                 sd_rd_buffer <= sd_dataout;
  837.  
  838.  
  839.  
  840.  
  841.  
  842.  
  843.  
  844. /////////////////////////////////////////////////////////////////////////////////////////////////
  845.  
  846.         ///////////////
  847.         // ATM ports //
  848.         ///////////////
  849.  
  850.         wire atm77_wr_fclk;
  851.         wire zxevbf_wr_fclk;
  852.  
  853.         assign atmF7_wr_fclk = ( (loa==ATMF7) && (a[8]==1'b1) && shadow && port_wr_fclk ); // xFF7 and x7F7 ports, NOT xEF7!
  854.         assign atm77_wr_fclk = ( (loa==ATM77) && shadow && port_wr_fclk );
  855.  
  856.         assign zxevbf_wr_fclk = ( (loa==ZXEVBF) && port_wr_fclk );
  857.  
  858.  
  859.         // port BF write
  860.         //
  861.         always @(posedge fclk, negedge rst_n)
  862.         if( !rst_n )
  863.         begin
  864.                 shadow_en_reg <= 1'b0;
  865.                 romrw_en_reg  <= 1'b0;
  866.                 fntw_en_reg   <= 1'b0;
  867.                 set_nmi       <= 1'b0;
  868.                 brk_ena       <= 1'b0;
  869.                 pal444_ena    <= 1'b0;
  870.         end
  871.         else if( zxevbf_wr_fclk )
  872.         begin
  873.                 shadow_en_reg <= din[0];
  874.                 romrw_en_reg  <= din[1];
  875.                 fntw_en_reg   <= din[2];
  876.                 set_nmi       <= din[3];
  877.                 brk_ena       <= din[4];
  878.                 pal444_ena    <= din[5];
  879.         end
  880.  
  881.         assign romrw_en = romrw_en_reg;
  882.  
  883.  
  884.  
  885.         // port xx77 write
  886.         always @(posedge fclk, negedge rst_n)
  887.         if( !rst_n )
  888.         begin
  889.                 atm_scr_mode = 3'b011;
  890.                 atm_turbo    = 1'b0;
  891.  
  892.                 atm_pen =   1'b1; // no manager,
  893.                 atm_cpm_n = 1'b0; // permanent dosen (shadow ports on)
  894.  
  895.  
  896.                 atm_pen2     = 1'b0;
  897.         end
  898.         else if( atm77_wr_fclk )
  899.         begin
  900.                 atm_scr_mode <= din[2:0];
  901.                 atm_turbo    <= din[3];
  902.                 atm_pen      <= ~a[8];
  903.                 atm_cpm_n    <=  a[9];
  904.                 atm_pen2     <= ~a[14];
  905.         end
  906.  
  907.  
  908.         // atm palette strobe and data
  909.         //wire vg_wrFF_fclk;
  910.  
  911.         assign vg_wrFF_fclk = ( ( (loa==VGSYS)&&shadow ) && port_wr_fclk);
  912.  
  913.  
  914.         assign atm_palwr = vg_wrFF_fclk & atm_pen2;
  915.  
  916.         assign atm_paldata = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] }; //GgRrBb
  917.         assign atm_paldatalow = { ~a[4+8], ~a[7+8], ~a[1+8], ~a[6+8], ~a[0+8], ~a[5+8] }; //GgRrBb
  918.  
  919.  
  920.  
  921.         // TR-DOS any port access strobe -- for switching TR-DOS page to RAM page FE
  922.         always @(posedge fclk, negedge rst_n)
  923.         if( !rst_n )
  924.                 vg_rdwr_fclk <= 1'b0;
  925.         else
  926.                 vg_rdwr_fclk <= ((loa==VGCOM) ||
  927.                                  (loa==VGTRK) ||
  928.                                  (loa==VGSEC) ||
  929.                                  (loa==VGDAT) ||
  930.                                  (loa==VGSYS)  ) && shadow && (port_wr_fclk || port_rd_fclk);
  931.                                
  932.  
  933.  
  934.  
  935.  
  936.         // port BE write
  937.         assign clr_nmi = ( (loa==ZXEVBE) && port_wr_fclk );
  938.  
  939.  
  940.  
  941.  
  942.         // covox/beeper writes
  943.  
  944.         assign beeper_wr = (loa==PORTFE) && portfe_wr_fclk;
  945.         assign covox_wr  = (loa==COVOX) && port_wr_fclk;
  946.  
  947.  
  948.  
  949.         // font write enable
  950.         assign fnt_wr = fntw_en_reg && mem_wr_fclk;
  951.  
  952.  
  953.  
  954.         // port BE read
  955.  
  956.         always @*
  957.         case( a[12:8] )
  958.  
  959.         BD_PG0: portbdmux = pages[ 7:0 ];
  960.         BD_PG1: portbdmux = pages[15:8 ];
  961.         BD_PG2: portbdmux = pages[23:16];
  962.         BD_PG3: portbdmux = pages[31:24];
  963.         BD_PG4: portbdmux = pages[39:32];
  964.         BD_PG5: portbdmux = pages[47:40];
  965.         BD_PG6: portbdmux = pages[55:48];
  966.         BD_PG7: portbdmux = pages[63:56];
  967.  
  968.         BD_RAMNROMS: portbdmux = ramnroms;
  969.         BD_DOS7FFDS: portbdmux = dos7ffds;
  970.  
  971.         BD_P7FFD: portbdmux = p7ffd_int;
  972.         BD_PEFF7: portbdmux = peff7_int;
  973.  
  974.         BD_PXX77: portbdmux = { ~atm_pen2, atm_cpm_n, ~atm_pen, dos, atm_turbo, atm_scr_mode };
  975.  
  976.         BD_COLORRD: portbdmux = { ~palcolor[4], ~palcolor[2], ~palcolor[0], ~palcolor[5], 2'b11, ~palcolor[3], ~palcolor[1] };
  977. //      assign atm_paldata = { ~din[4], ~din[7], ~din[1], ~din[6], ~din[0], ~din[5] };
  978. //  {GgRrBb} -> {grbG11RB}
  979. // was: 76543210 -> 471605
  980. // now:             543210 -> 4205xx31
  981.         BD_FNTRD:    portbdmux = fontrom_readback;
  982.         BD_BORDERRD: portbdmux = { 4'bXXXX, border };
  983.  
  984.         BD_LOBRK: portbdmux = brk_addr[7:0];
  985.         BD_HIBRK: portbdmux = brk_addr[15:8];
  986.  
  987.         BD_WRDISRD: portbdmux = wrdisables;
  988.  
  989.         BD_FDDMASK: portbdmux = { 4'bXXXX, fdd_mask };
  990.  
  991.  
  992.         default: portbdmux = 8'bXXXXXXXX;
  993.  
  994.         endcase
  995.  
  996.  
  997.  
  998.  
  999.  
  1000.  
  1001.  
  1002.  
  1003.         // ULAPLUS ports
  1004.         reg up_select; // 0 -- ena/dis, 1 -- palette write
  1005.         //
  1006.         wire up_wr = port_wr_fclk && (loa==ULAPLUS);
  1007.         //
  1008.         always @(posedge fclk)
  1009.         if( up_wr && !a[14] )
  1010.         begin
  1011.                 if( !din[7] &&  din[6] )
  1012.                 begin
  1013.                         up_select <= 1'b1;
  1014.                 end
  1015.  
  1016.                 if( !din[7] && !din[6] )
  1017.                 begin
  1018.                         up_select <= 1'b0;
  1019.                         up_paladdr[5:0] <= din[5:0];
  1020.                 end
  1021.         end
  1022.         //
  1023.         always @(posedge fclk) if( up_wr && a[14] )
  1024.                 up_lastwritten <= din;
  1025.         //
  1026.         assign up_palwr = up_wr && a[14] && !up_select;
  1027.         //
  1028.         always @(posedge fclk, negedge rst_n)
  1029.         if( !rst_n )
  1030.                 up_ena <= 1'b0;
  1031.         else if( up_wr && a[14] && up_select )
  1032.                 up_ena <= din[0];
  1033.         //
  1034.         assign up_paldata = {din[4:2],din[7:5],din[1:0]}; // G3R3B2 to R3G3B2
  1035.  
  1036. endmodule
  1037.  
  1038.