Subversion Repositories pentevo

Rev

Rev 896 | Rev 1042 | Go to most recent revision | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ZX-Evo Base Configuration (c) NedoPC 2008,2009,2010,2011,2012,2013,2014
  2. //
  3. // just DOS signal control
  4.  
  5. /*
  6.     This file is part of ZX-Evo Base Configuration firmware.
  7.  
  8.     ZX-Evo Base Configuration firmware is free software:
  9.     you can redistribute it and/or modify it under the terms of
  10.     the GNU General Public License as published by
  11.     the Free Software Foundation, either version 3 of the License, or
  12.     (at your option) any later version.
  13.  
  14.     ZX-Evo Base Configuration firmware is distributed in the hope that
  15.     it will be useful, but WITHOUT ANY WARRANTY; without even
  16.     the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  17.     See the GNU General Public License for more details.
  18.  
  19.     You should have received a copy of the GNU General Public License
  20.     along with ZX-Evo Base Configuration firmware.
  21.     If not, see <http://www.gnu.org/licenses/>.
  22. */
  23.  
  24. `include "../include/tune.v"
  25.  
  26. module zdos(
  27.  
  28.         input  wire        fclk,
  29.         input  wire        rst_n,
  30.  
  31.  
  32.         input  wire        dos_turn_on,
  33.         input  wire        dos_turn_off,
  34.  
  35.         input  wire        cpm_n,
  36.  
  37.  
  38.         output reg         dos,
  39.  
  40.  
  41.         // control of page #FE for emulation
  42.         output reg         in_trdemu,
  43.         input  wire        clr_nmi, // out (#BE),a
  44.         input  wire        vg_rdwr_fclk,
  45.         input  wire [ 3:0] fdd_mask,
  46.         input  wire [ 1:0] vg_a,
  47.         input  wire        romnram
  48. );
  49.  
  50.         // control of 'DOS' signal
  51.         always @(posedge fclk, negedge rst_n)
  52.         if( !rst_n )
  53.         begin
  54.                 dos = 1'b1;
  55.         end
  56.         else // posedge fclk
  57.         begin
  58.                 if( !cpm_n )
  59.                         dos <= 1'b1;
  60.                 else if( dos_turn_off )
  61.                         dos <= 1'b0;
  62.                 else if( dos_turn_on )
  63.                         dos <= 1'b1;
  64.         end
  65.  
  66.  
  67.  
  68.  
  69.         // vg emulator RAM turn on/off
  70.         always @(posedge fclk, negedge rst_n)
  71.         if( !rst_n )
  72.                 in_trdemu <= 1'b0;
  73.         else if( clr_nmi )
  74.                 in_trdemu <= 1'b0;
  75.         else if( vg_rdwr_fclk && fdd_mask[vg_a] && dos && romnram )
  76.                 in_trdemu <= 1'b1;
  77.  
  78.  
  79. endmodule
  80.  
  81.  
  82.