Subversion Repositories pentevo

Rev

Rev 1022 | Go to most recent revision | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ZX-Evo Base Configuration (c) NedoPC 2008,2009,2010,2011,2012,2013,2014,2015,2016,2019
  2. //
  3. // top-level
  4.  
  5. /*
  6.     This file is part of ZX-Evo Base Configuration firmware.
  7.  
  8.     ZX-Evo Base Configuration firmware is free software:
  9.     you can redistribute it and/or modify it under the terms of
  10.     the GNU General Public License as published by
  11.     the Free Software Foundation, either version 3 of the License, or
  12.     (at your option) any later version.
  13.  
  14.     ZX-Evo Base Configuration firmware is distributed in the hope that
  15.     it will be useful, but WITHOUT ANY WARRANTY; without even
  16.     the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
  17.     See the GNU General Public License for more details.
  18.  
  19.     You should have received a copy of the GNU General Public License
  20.     along with ZX-Evo Base Configuration firmware.
  21.     If not, see <http://www.gnu.org/licenses/>.
  22. */
  23.  
  24. `include "../include/tune.v"
  25.  
  26. module top(
  27.  
  28.         // clocks
  29.         input fclk,
  30.         output clkz_out,
  31.         input clkz_in,
  32.  
  33.         // z80
  34.         input iorq_n,
  35.         input mreq_n,
  36.         input rd_n,
  37.         input wr_n,
  38.         input m1_n,
  39.         input rfsh_n,
  40.         output int_n,
  41.         output nmi_n,
  42.         output wait_n,
  43.         output res,
  44.  
  45.         inout [7:0] d,
  46.         input [15:0] a,
  47.  
  48.         // zxbus and related
  49.         output csrom,
  50.         output romoe_n,
  51.         output romwe_n,
  52.  
  53.         output rompg0_n,
  54.         output dos_n, // aka rompg1
  55.         output rompg2,
  56.         output rompg3,
  57.         output rompg4,
  58.  
  59.         input iorqge1,
  60.         input iorqge2,
  61.         output iorq1_n,
  62.         output iorq2_n,
  63.  
  64.         // DRAM
  65.         inout [15:0] rd,
  66.         output [9:0] ra,
  67.         output rwe_n,
  68.         output rucas_n,
  69.         output rlcas_n,
  70.         output rras0_n,
  71.         output rras1_n,
  72.  
  73.         // video
  74.         output [1:0] vred,
  75.         output [1:0] vgrn,
  76.         output [1:0] vblu,
  77.  
  78.         output vhsync,
  79.         output vvsync,
  80.         output vcsync,
  81.  
  82.         // AY control and audio/tape
  83.         output ay_clk,
  84.         output ay_bdir,
  85.         output ay_bc1,
  86.  
  87.         output beep,
  88.  
  89.         // IDE
  90.         output [2:0] ide_a,
  91.         inout [15:0] ide_d,
  92.  
  93.         output ide_dir,
  94.  
  95.         input ide_rdy,
  96.  
  97.         output ide_cs0_n,
  98.         output ide_cs1_n,
  99.         output ide_rs_n,
  100.         output ide_rd_n,
  101.         output ide_wr_n,
  102.  
  103.         // VG93 and diskdrive
  104.         output vg_clk,
  105.  
  106.         output vg_cs_n,
  107.         output vg_res_n,
  108.  
  109.         output vg_hrdy,
  110.         output vg_rclk,
  111.         output vg_rawr,
  112.         output [1:0] vg_a, // disk drive selection
  113.         output vg_wrd,
  114.         output vg_side,
  115.  
  116.         input step,
  117.         input vg_sl,
  118.         input vg_sr,
  119.         input vg_tr43,
  120.         input rdat_b_n,
  121.         input vg_wf_de,
  122.         input vg_drq,
  123.         input vg_irq,
  124.         input vg_wd,
  125.  
  126.         // serial links (atmega-fpga, sdcard)
  127.         output sdcs_n,
  128.         output sddo,
  129.         output sdclk,
  130.         input sddi,
  131.  
  132.         input spics_n,
  133.         input spick,
  134.         input spido,
  135.         output spidi,
  136.         output spiint_n
  137. );
  138.  
  139.         wire dos;
  140.  
  141.  
  142.         wire zclk; // z80 clock for short
  143.  
  144.         wire zpos,zneg;
  145.  
  146.         wire rst_n; // global reset
  147.  
  148.         wire rrdy;
  149.         wire [15:0] rddata;
  150.  
  151.         wire [4:0] rompg;
  152.  
  153.         wire [7:0] zports_dout;
  154.         wire zports_dataout;
  155.         wire porthit;
  156.  
  157.         wire csrom_int;
  158.  
  159.  
  160.         wire [39:0] kbd_data;
  161.         wire [ 7:0] mus_data;
  162.         wire kbd_stb,mus_xstb,mus_ystb,mus_btnstb,kj_stb;
  163.  
  164.         wire [ 4:0] kbd_port_data;
  165.         wire [ 7:0] kj_port_data;
  166.         wire [ 7:0] mus_port_data;
  167.  
  168.  
  169.  
  170.  
  171.         wire [7:0] wait_read,wait_write;
  172.         wire wait_rnw;
  173.         wire wait_start_gluclock;
  174.         wire wait_start_comport;
  175.         wire wait_end;
  176.         wire [7:0] gluclock_addr;
  177.         wire [2:0] comport_addr;
  178.         wire [6:0] waits;
  179.  
  180.  
  181.  
  182.  
  183.         // config signals
  184.         wire [7:0] not_used0;
  185.         wire [7:0] not_used1;
  186.         wire cfg_vga_on;
  187.         //
  188.         wire [1:0] modes_raster;
  189.         wire       mode_contend_type = 1'b0; // 48/128/+2 or +2a/+3 TODO: take these signals from somewhere
  190.         wire       mode_contend_ena  = 1'b1; // contention enable
  191.         wire       contend;
  192.         //
  193.         wire [3:0] fdd_mask;
  194.  
  195.         // nmi signals
  196.         wire gen_nmi;
  197.         wire clr_nmi;
  198.         wire in_nmi;
  199.         wire in_trdemu;
  200.         wire trdemu_wr_disable;
  201.         wire [1:0] set_nmi;
  202.         wire imm_nmi;
  203.         wire nmi_buf_clr;
  204.  
  205.         // breakpoint signals
  206.         wire brk_ena;
  207.         wire [15:0] brk_addr;
  208.  
  209.  
  210.         wire tape_in;
  211.  
  212.         wire [15:0] ideout;
  213.         wire [15:0] idein;
  214.         wire idedataout;
  215.  
  216.  
  217.         wire [7:0] zmem_dout;
  218.         wire zmem_dataout;
  219.  
  220.  
  221.  
  222.         reg [3:0] ayclk_gen;
  223.  
  224.  
  225.         wire [7:0] received;
  226.         wire [7:0] tobesent;
  227.  
  228.  
  229.         wire intrq,drq;
  230.         wire vg_wrFF_fclk;
  231.         wire vg_rdwr_fclk;
  232.         wire [1:0] vg_ddrv;
  233.  
  234.  
  235.         wire        up_ena;
  236.         wire [ 5:0] up_paladdr;
  237.         wire [ 7:0] up_paldata;
  238.         wire        up_palwr;
  239.  
  240.  
  241.  
  242.  
  243.         assign zclk = clkz_in;
  244.  
  245.  
  246.         // RESETTER
  247.         wire genrst;
  248.  
  249.         resetter myrst( .clk(fclk),
  250.                         .rst_in_n(~genrst),
  251.                         .rst_out_n(rst_n) );
  252.         defparam myrst.RST_CNT_SIZE = 6;
  253.  
  254.  
  255.  
  256.         assign nmi_n=gen_nmi ? 1'b0 : 1'bZ;
  257.  
  258.         assign res= ~rst_n;
  259.  
  260.  
  261.  
  262.  
  263.  
  264.  
  265.  
  266.  
  267.         assign ide_rs_n = rst_n;
  268.  
  269.         assign ide_d = idedataout ? ideout : 16'hZZZZ;
  270.         assign idein = ide_d;
  271.  
  272.         assign ide_dir = ~idedataout;
  273.  
  274.  
  275.  
  276.  
  277.  
  278.         wire [7:0] peff7;
  279.         wire [7:0] p7ffd;
  280.  
  281.  
  282.         wire romrw_en;
  283.         wire cpm_n;
  284.         wire fnt_wr;
  285.  
  286.  
  287.  
  288.         wire cpu_req,cpu_rnw,cpu_wrbsel,cpu_strobe;
  289.         wire [20:0] cpu_addr;
  290.         wire [15:0] cpu_rddata;
  291.         wire [7:0] cpu_wrdata;
  292.  
  293.         wire cbeg,post_cbeg,pre_cend,cend;
  294.  
  295.         wire go;
  296.  
  297.  
  298.         // AVR SDcard control
  299.         wire       avr_lock_claim,
  300.                    avr_lock_grant,
  301.                    avr_sdcs_n,
  302.                    avr_sd_start;
  303.         wire [7:0] avr_sd_datain;
  304.         wire [7:0] avr_sd_dataout;
  305.  
  306.         // ZX SDcard control
  307.         wire       zx_sdcs_n_val,
  308.                    zx_sdcs_n_stb,
  309.                    zx_sd_start;
  310.         wire [7:0] zx_sd_datain;
  311.         wire [7:0] zx_sd_dataout;
  312.  
  313.  
  314.         wire tape_read; // data for tapein
  315.  
  316.         wire beeper_mux; // what is mixed to FPGA beeper output - beeper (0) or tapeout (1)
  317.  
  318.         wire [2:0] atm_scr_mode;
  319.  
  320.         wire atm_turbo;
  321.  
  322.  
  323.         wire beeper_wr, covox_wr;
  324.  
  325.  
  326.  
  327.         wire [5:0] palcolor; // palette readback
  328.  
  329.  
  330.  
  331.  
  332.         wire [1:0] int_turbo;
  333.         wire cpu_next;
  334.         wire cpu_stall;
  335.  
  336.         wire external_port;
  337.  
  338.  
  339.  
  340. //AY control
  341.         always @(posedge fclk)
  342.         begin
  343.                 ayclk_gen <= ayclk_gen + 4'd1;
  344.         end
  345.  
  346.         assign ay_clk = ayclk_gen[3];
  347.  
  348.  
  349.  
  350.  
  351.  
  352.         // fix ATM2-style ROM addressing for PENT-like ROM layout.
  353.         // this causes compications when writing to the flashROM from Z80
  354.         // and need to split and re-build old ATM romfiles before burning in
  355.         // flash
  356. //      wire [1:0] adr_fix;
  357. //      assign adr_fix = ~{ rompg[0], rompg[1] };
  358. //      assign rompg0_n = ~adr_fix[0];
  359. //      assign dos_n    =  adr_fix[1];
  360. //      assign rompg2   =  1'b0;//rompg[2];
  361. //      assign rompg3   =  1'b0;//rompg[3];
  362. //      assign rompg4   =  1'b0;//rompg[4];
  363.  
  364.         assign rompg0_n = ~rompg[0];
  365.         assign dos_n    =  rompg[1];
  366.         assign rompg2   =  rompg[2];
  367.         assign rompg3   =  rompg[3];
  368.         assign rompg4   =  rompg[4];
  369.  
  370.         wire [3:0] zclk_stall;
  371.  
  372.         zclock zclock
  373.         (
  374.                 .fclk (fclk ),
  375.                 .zclk (zclk ),
  376.                 .rst_n(rst_n),
  377.  
  378.                 .a(a),
  379.  
  380.                 .mreq_n(mreq_n),
  381.                 .iorq_n(iorq_n),
  382.                 .m1_n  (m1_n  ),
  383.                 .rfsh_n(rfsh_n),
  384.  
  385.                 .modes_raster     (modes_raster     ),
  386.                 .mode_contend_type(mode_contend_type),
  387.                 .mode_contend_ena (mode_contend_ena ),
  388.                 .mode_7ffd_bits   (p7ffd[2:0]       ),
  389.                 .contend          (contend          ),
  390.  
  391.                 .zclk_out(clkz_out),
  392.  
  393.                 .zpos(zpos),
  394.                 .zneg(zneg),
  395.  
  396.  
  397.                 .pre_cend(pre_cend),
  398.                 .cbeg    (cbeg    ),
  399.  
  400.                 .zclk_stall( cpu_stall | (|zclk_stall) ),
  401.                 .turbo     ( {atm_turbo,~(peff7[4])}   ),
  402.                 .int_turbo (int_turbo                  ),
  403.                
  404.                 .external_port(external_port)
  405.         );
  406.  
  407.  
  408.  
  409.         wire [7:0] dout_ram;
  410.         wire ena_ram;
  411.         wire [7:0] dout_ports;
  412.         wire ena_ports;
  413.  
  414.  
  415.         wire [3:0] border;
  416.  
  417.         wire drive_ff;
  418.         wire drive_00;
  419.  
  420.  
  421.         wire       atm_palwr;
  422.         wire [5:0] atm_paldata;
  423.         wire [5:0] atm_paldatalow;
  424.         wire pal444_ena;
  425.  
  426.         wire [7:0] fontrom_readback;
  427.  
  428.  
  429.  
  430.  
  431.         wire int_start;
  432.  
  433.  
  434.  
  435.         // data bus out: either RAM data or internal ports data or 0xFF with unused ports
  436. //      assign d = ena_ram ? dout_ram : ( ena_ports ? dout_ports : ( (drive_ff|drive_00) ? {8{drive_ff}} : 8'bZZZZZZZZ ) );
  437.  
  438.         wire [7:0] d_pre_out;
  439.         wire d_ena;
  440.  
  441.         assign d_pre_out = ({8{ena_ram&(~drive_00)}} & dout_ram) | ({8{ena_ports}} & dout_ports) | {8{drive_ff}} ;
  442.         assign d_ena = (ena_ram|ena_ports|drive_ff|drive_00);
  443.         //
  444.         assign d = d_ena ? d_pre_out : 8'bZZZZ_ZZZZ;
  445.         //
  446.         assign csrom = csrom_int && !drive_00;
  447.  
  448.  
  449.         zbus zxbus( .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .m1_n(m1_n),
  450.                     .iorq1_n(iorq1_n), .iorq2_n(iorq2_n), .iorqge1(iorqge1), .iorqge2(iorqge2),
  451.                     .porthit(porthit), .drive_ff(drive_ff) );
  452.  
  453.  
  454.  
  455.  
  456.         /////////////////////////////////////
  457.         // ATM memory pagers instantiation //
  458.         /////////////////////////////////////
  459.  
  460.         wire pager_off;
  461.  
  462.         wire        pent1m_ROM;
  463.         wire [ 5:0] pent1m_page;
  464.         wire        pent1m_ram0_0;
  465.         wire        pent1m_1m_on;
  466.  
  467.         wire atmF7_wr_fclk;
  468.  
  469.         wire [3:0] dos_turn_off,
  470.                    dos_turn_on;
  471.  
  472.         wire [ 7:0] page [0:3];
  473.         wire [ 3:0] romnram;
  474.         wire [ 3:0] wrdisable;
  475.  
  476.         // for reading back data via xxBE port
  477.         wire [ 7:0] rd_pages [0:7];
  478.         wire [ 7:0] rd_ramnrom;
  479.         wire [ 7:0] rd_dos7ffd;
  480.         wire [ 7:0] rd_wrdisables;
  481.  
  482.         generate
  483.  
  484.                 genvar i;
  485.  
  486.                 for(i=0;i<4;i=i+1)
  487.                 begin : instantiate_atm_pagers
  488.                         atm_pager #( .ADDR(i) ) atm_pager
  489.                         (
  490.                                 .rst_n(rst_n),
  491.                                 .fclk (fclk),
  492.                                 .zpos (zpos),
  493.                                 .zneg (zneg),
  494.                                
  495.                                 .za(a),
  496.                                 .zd(d),
  497.                                 .mreq_n(mreq_n),
  498.                                 .rd_n  (rd_n),
  499.                                 .m1_n  (m1_n),
  500.                                
  501.                                 .pager_off(pager_off),
  502.                                
  503.                                 .pent1m_ROM   (pent1m_ROM),
  504.                                 .pent1m_page  (pent1m_page),
  505.                                 .pent1m_ram0_0(pent1m_ram0_0),
  506.                                 .pent1m_1m_on (pent1m_1m_on),
  507.                                
  508.                                
  509.                                 .in_nmi   (in_nmi   ),
  510.                                 .in_trdemu(in_trdemu),
  511.                                
  512.                                 .trdemu_wr_disable(trdemu_wr_disable),
  513.                                
  514.                                 .atmF7_wr(atmF7_wr_fclk),
  515.                                
  516.                                 .dos(dos),
  517.                                
  518.                                 .dos_turn_on (dos_turn_on[i]),
  519.                                 .dos_turn_off(dos_turn_off[i]),
  520.                                
  521.                                 .zclk_stall(zclk_stall[i]),
  522.                                
  523.                                 .page     (page[i]     ),
  524.                                 .romnram  (romnram[i]  ),
  525.                                 .wrdisable(wrdisable[i]),
  526.                                
  527.                                 .rd_page0  (rd_pages[i  ]),
  528.                                 .rd_page1  (rd_pages[i+4]),
  529.                                
  530.                                 .rd_ramnrom   ( {rd_ramnrom   [i+4], rd_ramnrom   [i]} ),
  531.                                 .rd_dos7ffd   ( {rd_dos7ffd   [i+4], rd_dos7ffd   [i]} ),
  532.                                 .rd_wrdisables( {rd_wrdisables[i+4], rd_wrdisables[i]} )
  533.                         );
  534.  
  535.                 end
  536.  
  537.         endgenerate
  538.  
  539.  
  540.         ///////////////////////////
  541.         // DOS signal controller //
  542.         ///////////////////////////
  543.  
  544.         zdos zdos( .rst_n(rst_n),
  545.  
  546.                    .fclk(fclk),
  547.  
  548.                    .dos_turn_on ( |dos_turn_on  ),
  549.                    .dos_turn_off( |dos_turn_off ),
  550.  
  551.                    .cpm_n(cpm_n),
  552.  
  553.                    .dos(dos),
  554.  
  555.                    .zpos(zpos),
  556.                    .m1_n(m1_n),
  557.  
  558.  
  559.                    .trdemu_wr_disable(trdemu_wr_disable),
  560.  
  561.                    .in_trdemu   (in_trdemu   ),
  562.                    .in_nmi      (in_nmi      ),
  563.                    .clr_nmi     (clr_nmi     ),
  564.                    .vg_rdwr_fclk(vg_rdwr_fclk),
  565.                    .fdd_mask    (fdd_mask    ),
  566.                    .vg_a        (vg_ddrv     ),
  567.                    .romnram     (romnram[0]  )
  568.                  );
  569.  
  570.  
  571.  
  572.  
  573.         ///////////////////////////
  574.         // Z80 memory controller //
  575.         ///////////////////////////
  576.  
  577.         zmem z80mem
  578.         (
  579.                 .fclk (fclk ),
  580.                 .rst_n(rst_n),
  581.                
  582.                 .zpos(zpos),
  583.                 .zneg(zneg),
  584.  
  585.                 .cbeg     (cbeg     ),
  586.                 .post_cbeg(post_cbeg),
  587.                 .pre_cend (pre_cend ),
  588.                 .cend     (cend     ),
  589.                
  590.                 .za    (a       ),
  591.                 .zd_in (d       ),
  592.                 .zd_out(dout_ram),
  593.                 .zd_ena(ena_ram ),
  594.                 .m1_n  (m1_n    ),
  595.                 .rfsh_n(rfsh_n  ),
  596.                 .iorq_n(iorq_n  ),
  597.                 .mreq_n(mreq_n  ),
  598.                 .rd_n  (rd_n    ),
  599.                 .wr_n  (wr_n    ),
  600.  
  601.                 .win0_romnram(romnram[0]),
  602.                 .win1_romnram(romnram[1]),
  603.                 .win2_romnram(romnram[2]),
  604.                 .win3_romnram(romnram[3]),
  605.  
  606.                 .win0_page(page[0]),
  607.                 .win1_page(page[1]),
  608.                 .win2_page(page[2]),
  609.                 .win3_page(page[3]),
  610.  
  611.                 .win0_wrdisable(wrdisable[0]),
  612.                 .win1_wrdisable(wrdisable[1]),
  613.                 .win2_wrdisable(wrdisable[2]),
  614.                 .win3_wrdisable(wrdisable[3]),
  615.  
  616.                 .romrw_en(romrw_en),
  617.  
  618.                 .rompg  (rompg  ),
  619.                 .romoe_n(romoe_n),
  620.                 .romwe_n(romwe_n),
  621.                 .csrom  (csrom_int),
  622.  
  623.                 .cpu_req   (cpu_req   ),
  624.                 .cpu_rnw   (cpu_rnw   ),
  625.                 .cpu_wrbsel(cpu_wrbsel),
  626.                 .cpu_strobe(cpu_strobe),
  627.                 .cpu_addr  (cpu_addr  ),
  628.                 .cpu_wrdata(cpu_wrdata),
  629.                 .cpu_rddata(cpu_rddata),
  630.                 .cpu_stall (cpu_stall ),
  631.                 .cpu_next  (cpu_next  ),
  632.  
  633.                 .int_turbo(int_turbo),
  634.                 .nmi_buf_clr(nmi_buf_clr)
  635.         );
  636.  
  637.  
  638.  
  639.  
  640.         wire [20:0] daddr;
  641.         wire dreq;
  642.         wire drnw;
  643.         wire [15:0] drddata;
  644.         wire [15:0] dwrdata;
  645.         wire [1:0] dbsel;
  646.  
  647.  
  648.  
  649.  
  650.         dram dram( .clk(fclk),
  651.                    .rst_n(rst_n),
  652.  
  653.                    .addr(daddr),
  654.                    .req(dreq),
  655.                    .rnw(drnw),
  656.                    .cbeg(cbeg),
  657.                    .rrdy(drrdy),
  658.                    .rddata(drddata),
  659.                    .wrdata(dwrdata),
  660.                    .bsel(dbsel),
  661.  
  662.                    .ra(ra),
  663.                    .rd(rd),
  664.                    .rwe_n(rwe_n),
  665.                    .rucas_n(rucas_n),
  666.                    .rlcas_n(rlcas_n),
  667.                    .rras0_n(rras0_n),
  668.                    .rras1_n(rras1_n)
  669.                  );
  670.  
  671.  
  672.         wire [1:0] bw;
  673.  
  674.         wire [20:0] video_addr;
  675.         wire [15:0] video_data;
  676.         wire video_strobe;
  677.         wire video_next;
  678.  
  679.         arbiter dramarb( .clk(fclk),
  680.                          .rst_n(rst_n),
  681.  
  682.                          .dram_addr(daddr),
  683.                          .dram_req(dreq),
  684.                          .dram_rnw(drnw),
  685.                          .dram_cbeg(cbeg),
  686.                          .dram_rrdy(drrdy),
  687.                          .dram_bsel(dbsel),
  688.                          .dram_rddata(drddata),
  689.                          .dram_wrdata(dwrdata),
  690.  
  691.                          .post_cbeg(post_cbeg),
  692.                          .pre_cend (pre_cend ),
  693.                          .cend     (cend     ),
  694.  
  695.                          .go(go),
  696.                          .bw(bw),
  697.  
  698.                          .video_addr(video_addr),
  699.                          .video_data(video_data),
  700.                          .video_strobe(video_strobe),
  701.                          .video_next(video_next),
  702.  
  703.                          //.cpu_waitcyc(cpu_waitcyc),
  704.                          .cpu_next (cpu_next),
  705.                          .cpu_req(cpu_req),
  706.                          .cpu_rnw(cpu_rnw),
  707.                          .cpu_addr(cpu_addr),
  708.                          .cpu_wrbsel(cpu_wrbsel),
  709.                          .cpu_wrdata(cpu_wrdata),
  710.                          .cpu_rddata(cpu_rddata),
  711.                          .cpu_strobe(cpu_strobe) );
  712.  
  713.         video_top video_top
  714.         (
  715.                 .clk(fclk),
  716.  
  717.                 .vred(vred),
  718.                 .vgrn(vgrn),
  719.                 .vblu(vblu),
  720.                 .vhsync(vhsync),
  721.                 .vvsync(vvsync),
  722.                 .vcsync(vcsync),
  723.  
  724.                 .zxborder(border),
  725.  
  726.                 .pent_vmode( {peff7[0],peff7[5]} ),
  727.                 .atm_vmode (atm_scr_mode),
  728.  
  729.                 .scr_page(p7ffd[3]),
  730.  
  731.                 .vga_on(cfg_vga_on),
  732.  
  733.                 .modes_raster     (modes_raster     ),
  734.                 .mode_contend_type(mode_contend_type),
  735.                
  736.                 .contend(contend),
  737.  
  738.                 .cbeg     (cbeg     ),
  739.                 .post_cbeg(post_cbeg),
  740.                 .pre_cend (pre_cend ),
  741.                 .cend     (cend     ),
  742.  
  743.                 .video_go    (go          ),
  744.                 .video_bw    (bw          ),
  745.                 .video_addr  (video_addr  ),
  746.                 .video_data  (video_data  ),
  747.                 .video_strobe(video_strobe),
  748.                 .video_next  (video_next  ),
  749.  
  750.                 .atm_palwr  (atm_palwr  ),
  751.                 .atm_paldata(atm_paldata),
  752.                 .atm_paldatalow(atm_paldatalow),
  753.                 .pal444_ena(pal444_ena),
  754.                
  755.                 .up_ena    (up_ena    ),
  756.                 .up_paladdr(up_paladdr),
  757.                 .up_paldata(up_paldata),
  758.                 .up_palwr  (up_palwr  ),
  759.  
  760.                 .int_start(int_start),
  761.  
  762.                 .fnt_a (a[10:0]),
  763.                 .fnt_d (d      ),
  764.                 .fnt_wr(fnt_wr ),
  765.  
  766.                 .palcolor(palcolor),
  767.  
  768.                 .fontrom_readback(fontrom_readback)
  769.         );
  770.  
  771.  
  772.         slavespi slavespi(
  773.                 .fclk(fclk), .rst_n(rst_n),
  774.  
  775.                 .spics_n(spics_n), .spidi(spidi),
  776.                 .spido(spido), .spick(spick),
  777.                 .status_in({/* wait_rnw */ wr_n, waits[6:0]}), .genrst(genrst),
  778.                 .kbd_out(kbd_data),
  779.                 .kbd_stb(kbd_stb), .mus_out(mus_data),
  780.                 .mus_xstb(mus_xstb), .mus_ystb(mus_ystb),
  781.                 .mus_btnstb(mus_btnstb), .kj_stb(kj_stb),
  782.                 .gluclock_addr(gluclock_addr),
  783.                 .comport_addr (comport_addr),
  784.                 .wait_write(wait_write),
  785.                 .wait_read(wait_read),
  786.                 .wait_rnw(wait_rnw),
  787.                 .wait_end(wait_end),
  788.                 .config0( {not_used0[7:6], modes_raster, beeper_mux, tape_read, set_nmi[0], cfg_vga_on} ),
  789.  
  790.                 .sd_lock_out(avr_lock_claim),
  791.                 .sd_lock_in (avr_lock_grant),
  792.                 .sd_cs_n    (avr_sdcs_n    ),
  793.                 .sd_start   (avr_sd_start  ),
  794.                 .sd_datain  (avr_sd_datain ),
  795.                 .sd_dataout (avr_sd_dataout)
  796.         );
  797.  
  798.         zkbdmus zkbdmus( .fclk(fclk), .rst_n(rst_n),
  799.                          .kbd_in(kbd_data), .kbd_stb(kbd_stb),
  800.                          .mus_in(mus_data), .mus_xstb(mus_xstb),
  801.                          .mus_ystb(mus_ystb), .mus_btnstb(mus_btnstb),
  802.                          .kj_stb(kj_stb), .kj_data(kj_port_data),
  803.                          .zah(a[15:8]), .kbd_data(kbd_port_data),
  804.                          .mus_data(mus_port_data)
  805.                        );
  806.  
  807.  
  808.         zports zports( .zclk(zclk), .fclk(fclk), .rst_n(rst_n), .zpos(zpos), .zneg(zneg),
  809.                        .din(d), .dout(dout_ports), .dataout(ena_ports),
  810.                        .a(a), .iorq_n(iorq_n), .rd_n(rd_n), .wr_n(wr_n), .porthit(porthit),
  811.                        .ay_bdir(ay_bdir), .ay_bc1(ay_bc1), .border(border),
  812.                        .p7ffd(p7ffd), .peff7(peff7), .mreq_n(mreq_n), .m1_n(m1_n), .dos(dos),
  813.  
  814.                        .vg_cs_n     (vg_cs_n     ),
  815.                        .vg_intrq    (intrq       ),
  816.                        .vg_drq      (drq         ),
  817.                        .vg_wrFF_fclk(vg_wrFF_fclk),
  818.                        .vg_rdwr_fclk(vg_rdwr_fclk),
  819.                        .vg_a        (vg_ddrv     ),
  820.                        .vg_res_n    (vg_res_n    ),
  821.                        .vg_hrdy     (vg_hrdy     ),
  822.                        .vg_side     (vg_side     ),
  823.  
  824.                        
  825.  
  826.                        .idein(idein), .ideout(ideout), .idedataout(idedataout),
  827.                        .ide_a(ide_a), .ide_cs0_n(ide_cs0_n), .ide_cs1_n(ide_cs1_n),
  828.                        .ide_wr_n(ide_wr_n), .ide_rd_n(ide_rd_n),
  829.  
  830.                        .sd_cs_n_val(zx_sdcs_n_val),
  831.                        .sd_cs_n_stb(zx_sdcs_n_stb),
  832.                        .sd_start   (zx_sd_start  ),
  833.                        .sd_datain  (zx_sd_datain ),
  834.                        .sd_dataout (zx_sd_dataout),
  835.  
  836.                        .keys_in(kbd_port_data),
  837.                        .mus_in (mus_port_data),
  838.                        .kj_in  (kj_port_data ),
  839.  
  840.                        .tape_read(tape_read),
  841.  
  842.                        .gluclock_addr(gluclock_addr),
  843.                        .comport_addr (comport_addr ),
  844.                        .wait_start_gluclock(wait_start_gluclock),
  845.                        .wait_start_comport (wait_start_comport ),
  846.                        .wait_rnw  (wait_rnw  ),
  847.                        .wait_write(wait_write),
  848.                        .wait_read (wait_read ),
  849.                
  850.                 .atmF7_wr_fclk(atmF7_wr_fclk),
  851.  
  852.                 .atm_scr_mode(atm_scr_mode),
  853.                 .atm_turbo   (atm_turbo),
  854.                 .atm_pen     (pager_off),
  855.                 .atm_cpm_n   (cpm_n),
  856.                 .atm_pen2    (atm_pen2),
  857.  
  858.                 .romrw_en(romrw_en),
  859.  
  860.                 .pent1m_ram0_0(pent1m_ram0_0),
  861.                 .pent1m_1m_on (pent1m_1m_on),
  862.                 .pent1m_page  (pent1m_page),
  863.                 .pent1m_ROM   (pent1m_ROM),
  864.  
  865.                 .atm_palwr  (atm_palwr  ),
  866.                 .atm_paldata(atm_paldata),
  867.                 .atm_paldatalow(atm_paldatalow),
  868.                 .pal444_ena(pal444_ena),
  869.  
  870.                 .beeper_wr(beeper_wr),
  871.                 .covox_wr (covox_wr ),
  872.  
  873.                 .fnt_wr(fnt_wr),
  874.                 .clr_nmi(clr_nmi),
  875.  
  876.  
  877.                 .pages(~{ rd_pages[7], rd_pages[6],
  878.                           rd_pages[5], rd_pages[4],
  879.                           rd_pages[3], rd_pages[2],
  880.                           rd_pages[1], rd_pages[0] }),
  881.  
  882.                 .ramnroms  ( rd_ramnrom    ),
  883.                 .dos7ffds  ( rd_dos7ffd    ),
  884.                 .wrdisables( rd_wrdisables ),
  885.  
  886.                 .palcolor(palcolor),
  887.                 .fontrom_readback(fontrom_readback),
  888.        
  889.                 .up_ena    (up_ena    ),
  890.                 .up_paladdr(up_paladdr),
  891.                 .up_paldata(up_paldata),
  892.                 .up_palwr  (up_palwr  ),
  893.  
  894.                 .external_port(external_port),
  895.  
  896.                 .set_nmi(set_nmi[1]),
  897.  
  898.                 .brk_ena (brk_ena ),
  899.                 .brk_addr(brk_addr),
  900.  
  901.                 .fdd_mask(fdd_mask)
  902.         );
  903.  
  904.  
  905.         zint zint(
  906.                 .fclk(fclk),
  907.                 .zpos(zpos),
  908.                 .zneg(zneg),
  909.  
  910.                 .int_start(int_start),
  911.  
  912.                 .iorq_n(iorq_n),
  913.                 .m1_n  (m1_n  ),
  914.  
  915.                 .wait_n(spiint_n), // spiint_n is 1-0 signal, wait_n is Z-0
  916.  
  917.                 .int_n(int_n)
  918.         );
  919.  
  920.         znmi znmi
  921.         (
  922.                 .rst_n(rst_n),
  923.                 .fclk(fclk),
  924.                 .zpos(zpos),
  925.                 .zneg(zneg),
  926.  
  927.                 .rfsh_n(rfsh_n),
  928.                 .m1_n  (m1_n  ),
  929.                 .mreq_n(mreq_n),
  930.                 .csrom (csrom ),
  931.                 .a     (a     ),
  932.  
  933.                 .int_start(int_start),
  934.  
  935.                 .set_nmi(set_nmi),
  936.                 .imm_nmi(imm_nmi),
  937.                 .clr_nmi(clr_nmi),
  938.  
  939.                 .drive_00(drive_00),
  940.  
  941.                 .in_nmi (in_nmi ),
  942.                 .gen_nmi(gen_nmi),
  943.                 .nmi_buf_clr(nmi_buf_clr)
  944.         );
  945.  
  946.  
  947.         zbreak zbreak
  948.         (
  949.                 .rst_n(rst_n),
  950.                 .fclk(fclk),
  951.                 .zpos(zpos),
  952.                 .zneg(zneg),
  953.  
  954.                 .m1_n  (m1_n  ),
  955.                 .mreq_n(mreq_n),
  956.                 .a     (a     ),
  957.  
  958.                 .imm_nmi(imm_nmi),
  959.  
  960.                 .brk_ena (brk_ena ),
  961.                 .brk_addr(brk_addr)
  962.         );
  963.  
  964.  
  965.  
  966.  
  967.  
  968.  
  969.         zwait zwait( .wait_start_gluclock(wait_start_gluclock),
  970.                      .wait_start_comport (wait_start_comport),
  971.                      .wait_end(wait_end),
  972.                      .rst_n(rst_n),
  973.                      .wait_n(wait_n),
  974.                      .waits(waits),
  975.                      .spiint_n(spiint_n) );
  976.  
  977.  
  978.  
  979.  
  980.         assign vg_a[0] = vg_ddrv[0] ? 1'b1 : 1'b0; // possibly open drain?
  981.         assign vg_a[1] = vg_ddrv[1] ? 1'b1 : 1'b0;
  982.  
  983.         vg93 vgshka( .zclk(zclk), .rst_n(rst_n), .fclk(fclk), .vg_clk(vg_clk),
  984.                      .vg_res_n(vg_res_n), .din(d), .intrq(intrq), .drq(drq), .vg_wrFF_fclk(vg_wrFF_fclk),
  985.                      .vg_hrdy(vg_hrdy), .vg_rclk(vg_rclk), .vg_rawr(vg_rawr), .vg_a(vg_ddrv),
  986.                      .vg_wrd(vg_wrd), .vg_side(vg_side), .step(step), .vg_sl(vg_sl), .vg_sr(vg_sr),
  987.                      .vg_tr43(vg_tr43), .rdat_n(rdat_b_n), .vg_wf_de(vg_wf_de), .vg_drq(vg_drq),
  988.                      .vg_irq(vg_irq), .vg_wd(vg_wd) );
  989.  
  990.  
  991.  
  992.  
  993. //      spi2 zspi( .clock(fclk), .sck(sdclk), .sdo(sddo), .sdi(sddi), .start(sd_start),
  994. //                 .speed(2'b00), .din(sd_datain), .dout(sd_dataout) );
  995.         spihub spihub(
  996.  
  997.                 .fclk (fclk ),
  998.                 .rst_n(rst_n),
  999.  
  1000.                 .sdcs_n(sdcs_n),
  1001.                 .sdclk (sdclk ),
  1002.                 .sddo  (sddo  ),
  1003.                 .sddi  (sddi  ),
  1004.  
  1005.                 .zx_sdcs_n_val(zx_sdcs_n_val),
  1006.                 .zx_sdcs_n_stb(zx_sdcs_n_stb),
  1007.                 .zx_sd_start  (zx_sd_start  ),
  1008.                 .zx_sd_datain (zx_sd_datain ),
  1009.                 .zx_sd_dataout(zx_sd_dataout),
  1010.  
  1011.                 .avr_lock_in   (avr_lock_claim),
  1012.                 .avr_lock_out  (avr_lock_grant),
  1013.                 .avr_sdcs_n    (avr_sdcs_n    ),
  1014.                 .avr_sd_start  (avr_sd_start  ),
  1015.                 .avr_sd_datain (avr_sd_datain ),
  1016.                 .avr_sd_dataout(avr_sd_dataout)
  1017.  
  1018.  
  1019.         );
  1020.  
  1021.  
  1022.  
  1023.  
  1024.  
  1025.           //////////////////////////////////////
  1026.          // sound: beeper, tapeout and covox //
  1027.         //////////////////////////////////////
  1028.  
  1029.         sound sound(
  1030.  
  1031.                 .clk(fclk),
  1032.  
  1033.                 .din(d),
  1034.  
  1035.                 .beeper_wr(beeper_wr),
  1036.                 .covox_wr (covox_wr ),
  1037.  
  1038.                 .beeper_mux(beeper_mux),
  1039.  
  1040.                 .sound_bit(beep)
  1041.         );
  1042.  
  1043.  
  1044. endmodule
  1045.  
  1046.