Subversion Repositories pentevo

Rev

Rev 896 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // ROM loader
  2. //
  3.  
  4. module bin2v
  5. (
  6.         input  wire [18:0] in_addr,
  7.  
  8.         output reg  [ 7:0] out_word
  9. );
  10.  
  11.         parameter FILENAME="zxevo.rom";
  12.  
  13.         integer fd;
  14.  
  15.  
  16.         reg [7:0] mem [0:524287];
  17.  
  18.  
  19.  
  20.         // load file
  21.         initial
  22.         begin
  23.                 fd = $fopen(FILENAME,"rb");
  24.  
  25.                 if( 524288!=$fread(mem,fd) )
  26.                 begin
  27.                         $display("Couldn't load zxevo ROM!\n");
  28.                         $stop;
  29.                 end
  30.  
  31.                 $fclose(fd);
  32.         end
  33.  
  34.  
  35.  
  36.         always @*
  37.                 out_word = mem[in_addr];
  38.  
  39.  
  40. endmodule
  41.  
  42.