Subversion Repositories pentevo

Rev

Rev 896 | Blame | Compare with Previous | Last modification | View Log | Download | RSS feed | ?url?

  1. // full zxevo ROM here. ATM paging: bas48(0)/trdos(1)/bas128(2)/gluk(3)
  2.  
  3. module rom(
  4.         input [18:0] addr,
  5.         output reg [7:0] data,
  6.         input ce_n
  7. );
  8.  
  9.  
  10.         wire [7:0] word;
  11.  
  12.         bin2v #(.FILENAME("ers_test.rom")) zxevo_rom( .in_addr(addr), .out_word(word) );
  13.  
  14.         always @*
  15.         begin
  16.                 if( !ce_n )
  17.                         data = word;
  18.                 else
  19.                         data = 8'bZZZZZZZZ;
  20.  
  21.         end
  22.  
  23.  
  24.  
  25.  
  26. endmodule
  27.  
  28.