Rev 425 | Details | Compare with Previous | Last modification | View Log | RSS feed
Rev | Author | Line No. | Line |
---|---|---|---|
280 | lvd | 1 | // full zxevo ROM here. ATM paging: bas48(0)/trdos(1)/bas128(2)/gluk(3) |
200 | lvd | 2 | |
36 | lvd | 3 | module rom( |
425 | lvd | 4 | input [18:0] addr, |
36 | lvd | 5 | output reg [7:0] data, |
6 | input ce_n |
||
7 | ); |
||
8 | |||
200 | lvd | 9 | |
10 | wire [7:0] word; |
||
11 | |||
543 | lvd | 12 | `ifdef SPITEST |
13 | spitest_rom spitest_rom( .in_addr(addr), .out_word(word) ); |
||
14 | `else |
||
15 | `ifdef NMITEST |
||
16 | nmitest_rom nmitest_rom( .in_addr(addr), .out_word(word) ); |
||
17 | `else |
||
200 | lvd | 18 | bin2v zxevo_rom( .in_addr(addr), .out_word(word) ); |
543 | lvd | 19 | `endif |
20 | `endif |
||
200 | lvd | 21 | |
36 | lvd | 22 | always @* |
23 | begin |
||
200 | lvd | 24 | if( !ce_n ) |
25 | data = word; |
||
36 | lvd | 26 | else |
200 | lvd | 27 | data = 8'bZZZZZZZZ; |
36 | lvd | 28 | |
29 | end |
||
30 | |||
31 | |||
32 | |||
33 | |||
34 | endmodule |
||
35 |